default search action
Gu-Yeon Wei
Person information
SPARQL queries
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j57]Yu-Shun Hsiao, Zishen Wan, Tianyu Jia, Radhika Ghosal, Abdulrahman Mahmoud, Arijit Raychowdhury, David Brooks, Gu-Yeon Wei, Vijay Janapa Reddi:
Silent Data Corruption in Robot Operating System: A Case for End-to-End System-Level Fault Analysis Using Autonomous UAVs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(4): 1037-1050 (2024) - [j56]Bo-Yuan Huang, Steven Lyubomirsky, Yi Li, Mike He, Gus Henry Smith, Thierry Tambe, Akash Gaonkar, Vishal Canumalla, Andrew Cheung, Gu-Yeon Wei, Aarti Gupta, Zachary Tatlock, Sharad Malik:
Application-level Validation of Accelerator Designs Using a Formal Software/Hardware Interface. ACM Trans. Design Autom. Electr. Syst. 29(2): 35:1-35:25 (2024) - [c146]Maximilian Lam, Jeff Johnson, Wenjie Xiong, Kiwan Maeng, Udit Gupta, Yang Li, Liangzhen Lai, Ilias Leontiadis, Minsoo Rhu, Hsien-Hsin S. Lee, Vijay Janapa Reddi, Gu-Yeon Wei, David Brooks, G. Edward Suh:
GPU-based Private Information Retrieval for On-Device Machine Learning Inference. ASPLOS (1) 2024: 197-214 - [c145]Sai Qian Zhang, Thierry Tambe, Nestor Cuevas, Gu-Yeon Wei, David Brooks:
CAMEL: Co-Designing AI Models and eDRAMs for Efficient On-Device Learning. HPCA 2024: 861-875 - [c144]Celine Lee, Abdulrahman Mahmoud, Michal Kurek, Simone Campanoni, David Brooks, Stephen Chong, Gu-Yeon Wei, Alexander M. Rush:
Guess & Sketch: Language Model Guided Transpilation. ICLR 2024 - [c143]Martin Cochet, Karthik Swaminathan, Erik Jens Loscalzo, Joseph Zuckerman, Maico Cassel dos Santos, Davide Giri, Alper Buyuktosunoglu, Tianyu Jia, David Brooks, Gu-Yeon Wei, Kenneth L. Shepard, Luca P. Carloni, Pradip Bose:
BlitzCoin: Fully Decentralized Hardware Power Management for Accelerator-Rich SoCs. ISCA 2024: 801-817 - [c142]Samuel Hsia, Alicia Golden, Bilge Acun, Newsha Ardalani, Zachary DeVito, Gu-Yeon Wei, David Brooks, Carole-Jean Wu:
MAD-Max Beyond Single-Node: Enabling Large Machine Learning Model Acceleration on Distributed Systems. ISCA 2024: 818-833 - [c141]Sai Qian Zhang, Thierry Tambe, Gu-Yeon Wei, David Brooks:
JointNF: Enhancing DNN Performance through Adaptive N: M Pruning across both Weight and Activation. ISLPED 2024: 1-6 - [c140]Alicia Golden, Samuel Hsia, Fei Sun, Bilge Acun, Basil Hosmer, Yejin Lee, Zachary DeVito, Jeff Johnson, Gu-Yeon Wei, David Brooks, Carole-Jean Wu:
Generative AI Beyond LLMs: System Implications of Multi-Modal Generation. ISPASS 2024: 257-267 - [c139]Maico Cassel dos Santos, Tianyu Jia, Joseph Zuckerman, Martin Cochet, Davide Giri, Erik Jens Loscalzo, Karthik Swaminathan, Thierry Tambe, Jeff Jun Zhang, Alper Buyuktosunoglu, Kuan-Lin Chiu, Giuseppe Di Guglielmo, Paolo Mantovani, Luca Piccolboni, Gabriele Tombesi, David Trilla, John-David Wellman, En-Yu Yang, Aporva Amarnath, Ying Jing, Bakshree Mishra, Joshua Park, Vignesh Suresh, Sarita V. Adve, Pradip Bose, David Brooks, Luca P. Carloni, Kenneth L. Shepard, Gu-Yeon Wei:
14.5 A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management and Flexible NoC-Based Data Orchestration. ISSCC 2024: 262-264 - [c138]Erik Jens Loscalzo, Martin Cochet, Joseph Zuckerman, Samira Zalias, Michael Lekas, Stephen Cahill, Tianyu Jia, Karthik Swaminathan, Maico Cassel dos Santos, Davide Giri, Hesam Sadeghi, Joseph Meyer, Noah Sturcken, David Brooks, Gu-Yeon Wei, Luca P. Carloni, Pradip Bose, Kenneth L. Shepard:
A 400-ns-Settling- Time Hybrid Dynamic Voltage Frequency Scaling Architecture and Its Application in a 22-Core Network-on-Chip SoC in 12-nm FinFET Technology. VLSI Technology and Circuits 2024: 1-2 - [i47]Hyeri Roh, Jinsu Yeo, Yeongil Ko, Gu-Yeon Wei, David Brooks, Wooseok Choi:
Flash: A Hybrid Private Inference Protocol for Deep CNNs with High Accuracy and Low Latency on CPU. CoRR abs/2401.16732 (2024) - [i46]Iulian Brumar, Rodrigo Rocha, Alex Bernat, Devashree Tripathy, David Brooks, Gu-Yeon Wei:
Guac: Energy-Aware and SSA-Based Generation of Coarse-Grained Merged Accelerators from LLVM-IR. CoRR abs/2402.13513 (2024) - [i45]Alicia Golden, Samuel Hsia, Fei Sun, Bilge Acun, Basil Hosmer, Yejin Lee, Zachary DeVito, Jeff Johnson, Gu-Yeon Wei, David Brooks, Carole-Jean Wu:
Is Flash Attention Stable? CoRR abs/2405.02803 (2024) - [i44]Benjamin C. Lee, David Brooks, Arthur van Benthem, Udit Gupta, Gage Hills, Vincent Liu, Benjamin Pierce, Christopher Stewart, Emma Strubell, Gu-Yeon Wei, Adam Wierman, Yuan Yao, Minlan Yu:
Carbon Connect: An Ecosystem for Sustainable Computing. CoRR abs/2405.13858 (2024) - 2023
- [j55]Gabriele Tombesi, Joseph Zuckerman, Paolo Mantovani, Davide Giri, Maico Cassel dos Santos, Tianyu Jia, David Brooks, Gu-Yeon Wei, Luca P. Carloni:
SoCProbe: Compositional Post-Silicon Validation of Heterogeneous NoC-Based SoCs. IEEE Des. Test 40(6): 64-75 (2023) - [j54]Jeffrey S. Vetter, Prasanna Date, Farah Fahim, Shruti R. Kulkarni, Petro Maksymovych, A. Alec Talin, Marc González Tallada, Pruek Vanna-Iampikul, Aaron R. Young, David Brooks, Yu Cao, Gu-Yeon Wei, Sung Kyu Lim, Frank Liu, Matthew J. Marinella, Bobby G. Sumpter, Narasinga Rao Miniskar:
Abisko: Deep codesign of an architecture for spiking neural networks using novel neuromorphic materials. Int. J. High Perform. Comput. Appl. 37(3-4): 351-379 (2023) - [j53]Thierry Tambe, En-Yu Yang, Glenn G. Ko, Yuji Chai, Coleman Hooper, Marco Donato, Paul N. Whatmough, Alexander M. Rush, David Brooks, Gu-Yeon Wei:
A 16-nm SoC for Noise-Robust Speech and NLP Edge AI Inference With Bayesian Sound Source Separation and Attention-Based DNNs. IEEE J. Solid State Circuits 58(2): 569-581 (2023) - [j52]Udit Gupta, Mariam Elgamal, Gage Hills, Gu-Yeon Wei, Hsien-Hsin S. Lee, David Brooks, Carole-Jean Wu:
Architectural CO2 Footprint Tool: Designing Sustainable Computer Systems With an Architectural Carbon Modeling Tool. IEEE Micro 43(4): 107-117 (2023) - [j51]Iulian Brumar, Georgios Zacharopoulos, Yuan Yao, Saketh Rama, David Brooks, Gu-Yeon Wei:
Early DSE and Automatic Generation of Coarse-grained Merged Accelerators. ACM Trans. Embed. Comput. Syst. 22(2): 32:1-32:29 (2023) - [j50]Georgios Zacharopoulos, Adel Ejjeh, Ying Jing, En-Yu Yang, Tianyu Jia, Iulian Brumar, Jeremy Intan, Muhammad Huzaifa, Sarita V. Adve, Vikram S. Adve, Gu-Yeon Wei, David Brooks:
Trireme: Exploration of Hierarchical Multi-level Parallelism for Hardware Acceleration. ACM Trans. Embed. Comput. Syst. 22(3): 53:1-53:23 (2023) - [j49]Siming Ma, David Brooks, Gu-Yeon Wei:
A Binary-Activation, Multi-Level Weight RNN and Training Algorithm for ADC-/DAC-Free and Noise-Resilient Processing-in-Memory Inference With eNVM. IEEE Trans. Emerg. Top. Comput. 11(2): 292-302 (2023) - [c137]Samuel Hsia, Udit Gupta, Bilge Acun, Newsha Ardalani, Pan Zhong, Gu-Yeon Wei, David Brooks, Carole-Jean Wu:
MP-Rec: Hardware-Software Co-design to Enable Multi-path Recommendation. ASPLOS (3) 2023: 449-465 - [c136]Yu-Shun Hsiao, Zishen Wan, Tianyu Jia, Radhika Ghosal, Abdulrahman Mahmoud, Arijit Raychowdhury, David Brooks, Gu-Yeon Wei, Vijay Janapa Reddi:
MAVFI: An End-to-End Fault Analysis Framework with Anomaly Detection and Recovery for Micro Aerial Vehicles. DATE 2023: 1-6 - [c135]Mariam Elgamal, Doug Carmean, Elnaz Ansari, Okay Zed, Ramesh Peri, Srilatha Manne, Udit Gupta, Gu-Yeon Wei, David Brooks, Gage Hills, Carole-Jean Wu:
Carbon-Efficient Design Optimization for Computing Systems. HotCarbon 2023: 16:1-16:7 - [c134]Alexander Hankin, Abdulrahman Mahmoud, Mark Hempstead, David Brooks, Gu-Yeon Wei:
VelociTI: An Architecture-level Performance Modeling Framework for Trapped Ion Quantum Computers. IISWC 2023: 206-210 - [c133]Alexander Hankin, Lillian Pentecost, Dongmoon Min, David Brooks, Gu-Yeon Wei:
Is the Future Cold or Tall? Design Space Exploration of Cryogenic and 3D Embedded Cache Memory. ISPASS 2023: 134-144 - [c132]Matthew Joseph Adiletta, Jesmin Jahan Tithi, Emmanouil-Ioannis Farsarakis, Gerasimos Gerogiannis, Robert Adolf, Robert Benke, Sidharth Kashyap, Samuel Hsia, Kartik Lakhotia, Fabrizio Petrini, Gu-Yeon Wei, David Brooks:
Characterizing the Scalability of Graph Convolutional Networks on Intel® PIUMA. ISPASS 2023: 168-177 - [c131]Thierry Tambe, Jeff Zhang, Coleman Hooper, Tianyu Jia, Paul N. Whatmough, Joseph Zuckerman, Maico Cassel dos Santos, Erik Jens Loscalzo, Davide Giri, Kenneth L. Shepard, Luca P. Carloni, Alexander M. Rush, David Brooks, Gu-Yeon Wei:
A 12nm 18.1TFLOPs/W Sparse Transformer Processor with Entropy-Based Early Exit, Mixed-Precision Predication and Fine-Grained Power Management. ISSCC 2023: 342-343 - [c130]Yunho Jin, Chun-Feng Wu, David Brooks, Gu-Yeon Wei:
S3: Increasing GPU Utilization during Generative Inference for Higher Throughput. NeurIPS 2023 - [c129]Syed Talal Wasim, Kabila Haile Soboka, Abdulrahman Mahmoud, Salman H. Khan, David Brooks, Gu-Yeon Wei:
Hardware Resilience Properties of Text-Guided Image Classifiers. NeurIPS 2023 - [i43]Maximilian Lam, Jeff Johnson, Wenjie Xiong, Kiwan Maeng, Udit Gupta, Yang Li, Liangzhen Lai, Ilias Leontiadis, Minsoo Rhu, Hsien-Hsin S. Lee, Vijay Janapa Reddi, Gu-Yeon Wei, David Brooks, G. Edward Suh:
GPU-based Private Information Retrieval for On-Device Machine Learning Inference. CoRR abs/2301.10904 (2023) - [i42]Yuji Chai, Devashree Tripathy, Chuteng Zhou, Dibakar Gope, Igor Fedorov, Ramon Matas Navarro, David Brooks, Gu-Yeon Wei, Paul N. Whatmough:
PerfSAGE: Generalized Inference Performance Predictor for Arbitrary Deep Learning Models on Edge Devices. CoRR abs/2301.10999 (2023) - [i41]Samuel Hsia, Udit Gupta, Bilge Acun, Newsha Ardalani, Pan Zhong, Gu-Yeon Wei, David Brooks, Carole-Jean Wu:
MP-Rec: Hardware-Software Co-Design to Enable Multi-Path Recommendation. CoRR abs/2302.10872 (2023) - [i40]Mariam Elgamal, Doug Carmean, Elnaz Ansari, Okay Zed, Ramesh Peri, Srilatha Manne, Udit Gupta, Gu-Yeon Wei, David Brooks, Gage Hills, Carole-Jean Wu:
Design Space Exploration and Optimization for Carbon-Efficient Extended Reality Systems. CoRR abs/2305.01831 (2023) - [i39]Sai Qian Zhang, Thierry Tambe, Nestor Cuevas, Gu-Yeon Wei, David Brooks:
CAMEL: Co-Designing AI Models and Embedded DRAMs for Efficient On-Device Learning. CoRR abs/2305.03148 (2023) - [i38]Yunho Jin, Chun-Feng Wu, David Brooks, Gu-Yeon Wei:
S3: Increasing GPU Utilization during Generative Inference for Higher Throughput. CoRR abs/2306.06000 (2023) - [i37]Yuji Chai, John Gkountouras, Glenn G. Ko, David Brooks, Gu-Yeon Wei:
INT2.1: Towards Fine-Tunable Quantized Large Language Models with Error Correction through Low-Rank Adaptation. CoRR abs/2306.08162 (2023) - [i36]Celine Lee, Abdulrahman Mahmoud, Michal Kurek, Simone Campanoni, David Brooks, Stephen Chong, Gu-Yeon Wei, Alexander M. Rush:
Guess & Sketch: Language Model Guided Transpilation. CoRR abs/2309.14396 (2023) - [i35]Samuel Hsia, Alicia Golden, Bilge Acun, Newsha Ardalani, Zachary DeVito, Gu-Yeon Wei, David Brooks, Carole-Jean Wu:
MAD Max Beyond Single-Node: Enabling Large Machine Learning Model Acceleration on Distributed Systems. CoRR abs/2310.02784 (2023) - [i34]Syed Talal Wasim, Kabila Haile Soboka, Abdulrahman Mahmoud, Salman Khan, David Brooks, Gu-Yeon Wei:
Hardware Resilience Properties of Text-Guided Image Classifiers. CoRR abs/2311.14062 (2023) - [i33]Alicia Golden, Samuel Hsia, Fei Sun, Bilge Acun, Basil Hosmer, Yejin Lee, Zachary DeVito, Jeff Johnson, Gu-Yeon Wei, David Brooks, Carole-Jean Wu:
Generative AI Beyond LLMs: System Implications of Multi-Modal Generation. CoRR abs/2312.14385 (2023) - 2022
- [j48]Sae Kyu Lee, Paul N. Whatmough, Marco Donato, Glenn G. Ko, David Brooks, Gu-Yeon Wei:
SMIV: A 16-nm 25-mm² SoC for IoT With Arm Cortex-A53, eFPGA, and Coherent Accelerators. IEEE J. Solid State Circuits 57(2): 639-650 (2022) - [j47]Udit Gupta, Young Geun Kim, Sylvia Lee, Jordan Tse, Hsien-Hsin S. Lee, Gu-Yeon Wei, David Brooks, Carole-Jean Wu:
Chasing Carbon: The Elusive Environmental Footprint of Computing. IEEE Micro 42(4): 37-47 (2022) - [j46]Nicolas Bohm Agostini, Serena Curzel, Jeff Jun Zhang, Ankur Limaye, Cheng Tan, Vinay Amatya, Marco Minutoli, Vito Giovanni Castellana, Joseph B. Manzano, David Brooks, Gu-Yeon Wei, Antonino Tumeo:
Bridging Python to Silicon: The SODA Toolchain. IEEE Micro 42(5): 78-88 (2022) - [j45]Serena Curzel, Nicolas Bohm Agostini, Vito Giovanni Castellana, Marco Minutoli, Ankur Limaye, Joseph B. Manzano, Jeff Zhang, David Brooks, Gu-Yeon Wei, Fabrizio Ferrandi, Antonino Tumeo:
End-to-End Synthesis of Dynamically Controlled Machine Learning Accelerators. IEEE Trans. Computers 71(12): 3074-3087 (2022) - [c128]Chun-Feng Wu, Carole-Jean Wu, Gu-Yeon Wei, David Brooks:
A joint management middleware to improve training performance of deep recommendation systems with SSDs. DAC 2022: 157-162 - [c127]Tianyu Jia, En-Yu Yang, Yu-Shun Hsiao, Jonathan J. Cruz, David Brooks, Gu-Yeon Wei, Vijay Janapa Reddi:
OMU: A Probabilistic 3D Occupancy Mapping Accelerator for Real-time OctoMap at the Edge. DATE 2022: 909-914 - [c126]Abdulrahman Mahmoud, Thierry Tambe, Tarek Aloui, David Brooks, Gu-Yeon Wei:
GoldenEye: A Platform for Evaluating Emerging Numerical Data Formats in DNN Accelerators. DSN 2022: 206-214 - [c125]Tianyu Jia, Paolo Mantovani, Maico Cassel dos Santos, Davide Giri, Joseph Zuckerman, Erik Jens Loscalzo, Martin Cochet, Karthik Swaminathan, Gabriele Tombesi, Jeff Jun Zhang, Nandhini Chandramoorthy, John-David Wellman, Kevin Tien, Luca P. Carloni, Kenneth L. Shepard, David Brooks, Gu-Yeon Wei, Pradip Bose:
A 12nm Agile-Designed SoC for Swarm-Based Perception with Heterogeneous IP Blocks, a Reconfigurable Memory Hierarchy, and an 800MHz Multi-Plane NoC. ESSCIRC 2022: 269-272 - [c124]Serena Curzel, Nicolas Bohm Agostini, Reece Neff, Ankur Limaye, Jeff Jun Zhang, Vinay Amatya, Marco Minutoli, Vito Giovanni Castellana, Joseph B. Manzano, David Brooks, Gu-Yeon Wei, Fabrizio Ferrandi, Antonino Tumeo:
From High-Level Frameworks to custom Silicon with SODA. HCS 2022: 1-13 - [c123]Yuji Chai, Glenn G. Ko, Wei-Te Mark Ting, Luke Bailey, David Brooks, Gu-Yeon Wei:
CoopMC: Algorithm-Architecture Co-Optimization for Markov Chain Monte Carlo Accelerators. HPCA 2022: 38-52 - [c122]Lillian Pentecost, Alexander Hankin, Marco Donato, Mark Hempstead, Gu-Yeon Wei, David Brooks:
NVMExplorer: A Framework for Cross-Stack Comparisons of Embedded Non-Volatile Memories. HPCA 2022: 938-956 - [c121]Maico Cassel dos Santos, Tianyu Jia, Martin Cochet, Karthik Swaminathan, Joseph Zuckerman, Paolo Mantovani, Davide Giri, Jeff Jun Zhang, Erik Jens Loscalzo, Gabriele Tombesi, Kevin Tien, Nandhini Chandramoorthy, John-David Wellman, David Brooks, Gu-Yeon Wei, Kenneth L. Shepard, Luca P. Carloni, Pradip Bose:
A Scalable Methodology for Agile Chip Development with Open-Source Hardware Components. ICCAD 2022: 20:1-20:9 - [c120]Cheng Tan, Thierry Tambe, Jeff Jun Zhang, Bo Fang, Tong Geng, Gu-Yeon Wei, David Brooks, Antonino Tumeo, Ganesh Gopalakrishnan, Ang Li:
ASAP: automatic synthesis of area-efficient and precision-aware CGRAs. ICS 2022: 4:1-4:13 - [c119]Udit Gupta, Mariam Elgamal, Gage Hills, Gu-Yeon Wei, Hsien-Hsin S. Lee, David Brooks, Carole-Jean Wu:
ACT: designing sustainable computer systems with an architectural carbon modeling tool. ISCA 2022: 784-799 - [c118]Srivatsan Krishnan, Zishen Wan, Kshitij Bhardwaj, Paul N. Whatmough, Aleksandra Faust, Sabrina M. Neuman, Gu-Yeon Wei, David Brooks, Vijay Janapa Reddi:
Automatic Domain-Specific SoC Design for Autonomous Unmanned Aerial Vehicles. MICRO 2022: 300-317 - [i32]Georgios Zacharopoulos, Adel Ejjeh, Ying Jing, En-Yu Yang, Tianyu Jia, Iulian Brumar, Jeremy Intan, Muhammad Huzaifa, Sarita V. Adve, Vikram S. Adve, Gu-Yeon Wei, David Brooks:
Trireme: Exploring Hierarchical Multi-Level Parallelism for Domain Specific Hardware Acceleration. CoRR abs/2201.08603 (2022) - [i31]Bo-Yuan Huang, Steven Lyubomirsky, Yi Li, Mike He, Thierry Tambe, Gus Henry Smith, Akash Gaonkar, Vishal Canumalla, Gu-Yeon Wei, Aarti Gupta, Zachary Tatlock, Sharad Malik:
Specialized Accelerators and Compiler Flows: Replacing Accelerator APIs with a Formal Software/Hardware Interface. CoRR abs/2203.00218 (2022) - [i30]Maximilian Lam, Michael Mitzenmacher, Vijay Janapa Reddi, Gu-Yeon Wei, David Brooks:
Tabula: Efficiently Computing Nonlinear Activation Functions for Secure Neural Network Inference. CoRR abs/2203.02833 (2022) - [i29]Tianyu Jia, En-Yu Yang, Yu-Shun Hsiao, Jonathan J. Cruz, David Brooks, Gu-Yeon Wei, Vijay Janapa Reddi:
OMU: A Probabilistic 3D Occupancy Mapping Accelerator for Real-time OctoMap at the Edge. CoRR abs/2205.03325 (2022) - [i28]Wooseok Choi, Brandon Reagen, Gu-Yeon Wei, David Brooks:
Impala: Low-Latency, Communication-Efficient Private Deep Learning Inference. CoRR abs/2205.06437 (2022) - [i27]Matthew Adiletta, David Brooks, Gu-Yeon Wei:
Architectural Implications of Embedding Dimension during GCN on CPU and GPU. CoRR abs/2212.00827 (2022) - 2021
- [c117]Jeff Jun Zhang, Nicolas Bohm Agostini, Shihao Song, Cheng Tan, Ankur Limaye, Vinay Amatya, Joseph B. Manzano, Marco Minutoli, Vito Giovanni Castellana, Antonino Tumeo, Gu-Yeon Wei, David Brooks:
Towards Automatic and Agile AI/ML Accelerator Design with End-to-End Synthesis. ASAP 2021: 218-225 - [c116]En-Yu Yang, Tianyu Jia, David Brooks, Gu-Yeon Wei:
FlexACC: A Programmable Accelerator with Application-Specific ISA for Flexible Deep Neural Network Inference. ASAP 2021: 266-273 - [c115]Mark Wilkening, Udit Gupta, Samuel Hsia, Caroline Trippel, Carole-Jean Wu, David Brooks, Gu-Yeon Wei:
RecSSD: near data processing for solid state drive based recommendation inference. ASPLOS 2021: 717-729 - [c114]Thierry Tambe, En-Yu Yang, Glenn G. Ko, Yuji Chai, Coleman Hooper, Marco Donato, Paul N. Whatmough, Alexander M. Rush, David Brooks, Gu-Yeon Wei:
SM6: A 16nm System-on-Chip for Accurate and Noise-Robust Attention-Based NLP Applications : The 33rd Hot Chips Symposium - August 22-24, 2021. HCS 2021: 1-13 - [c113]Brandon Reagen, Wooseok Choi, Yeongil Ko, Vincent T. Lee, Hsien-Hsin S. Lee, Gu-Yeon Wei, David Brooks:
Cheetah: Optimizing and Accelerating Homomorphic Encryption for Private Inference. HPCA 2021: 26-39 - [c112]Udit Gupta, Young Geun Kim, Sylvia Lee, Jordan Tse, Hsien-Hsin S. Lee, Gu-Yeon Wei, David Brooks, Carole-Jean Wu:
Chasing Carbon: The Elusive Environmental Footprint of Computing. HPCA 2021: 854-867 - [c111]Maximilian Lam, Gu-Yeon Wei, David Brooks, Vijay Janapa Reddi, Michael Mitzenmacher:
Gradient Disaggregation: Breaking Privacy in Federated Learning by Reconstructing the User Participant Matrix. ICML 2021: 5959-5968 - [c110]Mohammad Mehdi Sharifi, Lillian Pentecost, Ramin Rajaei, Arman Kazemi, Qiuwen Lou, Gu-Yeon Wei, David M. Brooks, Kai Ni, X. Sharon Hu, Michael T. Niemier, Marco Donato:
Application-driven Design Exploration for Dense Ferroelectric Embedded Non-volatile Memories. ISLPED 2021: 1-6 - [c109]Thierry Tambe, En-Yu Yang, Glenn G. Ko, Yuji Chai, Coleman Hooper, Marco Donato, Paul N. Whatmough, Alexander M. Rush, David Brooks, Gu-Yeon Wei:
9.8 A 25mm2 SoC for IoT Devices with 18ms Noise-Robust Speech-to-Text Latency via Bayesian Speech Denoising and Attention-Based Sequence-to-Sequence DNN Speech Recognition in 16nm FinFET. ISSCC 2021: 158-160 - [c108]Thierry Tambe, Coleman Hooper, Lillian Pentecost, Tianyu Jia, En-Yu Yang, Marco Donato, Victor Sanh, Paul N. Whatmough, Alexander M. Rush, David Brooks, Gu-Yeon Wei:
EdgeBERT: Sentence-Level Energy Optimizations for Latency-Aware Multi-Task NLP Inference. MICRO 2021: 830-844 - [c107]Udit Gupta, Samuel Hsia, Jeff Zhang, Mark Wilkening, Javin Pombra, Hsien-Hsin Sean Lee, Gu-Yeon Wei, Carole-Jean Wu, David Brooks:
RecPipe: Co-designing Models and Hardware to Jointly Optimize Recommendation Quality and Performance. MICRO 2021: 870-884 - [i26]Mark Wilkening, Udit Gupta, Samuel Hsia, Caroline Trippel, Carole-Jean Wu, David Brooks, Gu-Yeon Wei:
RecSSD: Near Data Processing for Solid State Drive Based Recommendation Inference. CoRR abs/2102.00075 (2021) - [i25]Srivatsan Krishnan, Zishen Wan, Kshitij Bhardwaj, Paul N. Whatmough, Aleksandra Faust, Sabrina M. Neuman, Gu-Yeon Wei, David Brooks, Vijay Janapa Reddi:
Machine Learning-Based Automated Design Space Exploration for Autonomous Aerial Robots. CoRR abs/2102.02988 (2021) - [i24]Coleman Hooper, Thierry Tambe, Gu-Yeon Wei:
Quantifying and Maximizing the Benefits of Back-End Noise Adaption on Attention-Based Speech Recognition Models. CoRR abs/2105.01134 (2021) - [i23]Udit Gupta, Samuel Hsia, Jeff Jun Zhang, Mark Wilkening, Javin Pombra, Hsien-Hsin S. Lee, Gu-Yeon Wei, Carole-Jean Wu, David Brooks:
RecPipe: Co-designing Models and Hardware to Jointly Optimize Recommendation Quality and Performance. CoRR abs/2105.08820 (2021) - [i22]Yu-Shun Hsiao, Zishen Wan, Tianyu Jia, Radhika Ghosal, Arijit Raychowdhury, David Brooks, Gu-Yeon Wei, Vijay Janapa Reddi:
MAVFI: An End-to-End Fault Analysis Framework with Anomaly Detection and Recovery for Micro Aerial Vehicles. CoRR abs/2105.12882 (2021) - [i21]Maximilian Lam, Gu-Yeon Wei, David Brooks, Vijay Janapa Reddi, Michael Mitzenmacher:
Gradient Disaggregation: Breaking Privacy in Federated Learning by Reconstructing the User Participant Matrix. CoRR abs/2106.06089 (2021) - [i20]Mohammad Mehdi Sharifi, Lillian Pentecost, Ramin Rajaei, Arman Kazemi, Qiuwen Lou, Gu-Yeon Wei, David Brooks, Kai Ni, X. Sharon Hu, Michael T. Niemier, Marco Donato:
Application-driven Design Exploration for Dense Ferroelectric Embedded Non-volatile Memories. CoRR abs/2106.11757 (2021) - [i19]Lillian Pentecost, Alexander Hankin, Marco Donato, Mark Hempstead, Gu-Yeon Wei, David Brooks:
NVMExplorer: A Framework for Cross-Stack Comparisons of Embedded Non-Volatile Memories. CoRR abs/2109.01188 (2021) - [i18]Iulian Brumar, Georgios Zacharopoulos, Yuan Yao, Saketh Rama, Gu-Yeon Wei, David Brooks:
Early DSE and Automatic Generation of Coarse Grained Merged Accelerators. CoRR abs/2111.09222 (2021) - 2020
- [j44]Srivatsan Krishnan, Zishen Wan, Kshitij Bhardwaj, Paul N. Whatmough, Aleksandra Faust, Gu-Yeon Wei, David Brooks, Vijay Janapa Reddi:
The Sky Is Not the Limit: A Visual Performance Model for Cyber-Physical Co-Design in Autonomous Machines. IEEE Comput. Archit. Lett. 19(1): 38-42 (2020) - [j43]Peter Mattson, Hanlin Tang, Gu-Yeon Wei, Carole-Jean Wu, Vijay Janapa Reddi, Christine Cheng, Cody Coleman, Greg Diamos, David Kanter, Paulius Micikevicius, David A. Patterson, Guenther Schmuelling:
MLPerf: An Industry Standard Benchmark Suite for Machine Learning Performance. IEEE Micro 40(2): 8-16 (2020) - [j42]Paul N. Whatmough, Marco Donato, Glenn G. Ko, Sae Kyu Lee, David Brooks, Gu-Yeon Wei:
CHIPKIT: An Agile, Reusable Open-Source Framework for Rapid Test Chip Development. IEEE Micro 40(4): 32-40 (2020) - [j41]Sam Likun Xi, Yuan Yao, Kshitij Bhardwaj, Paul N. Whatmough, Gu-Yeon Wei, David Brooks:
SMAUG: End-to-End Full-Stack Simulation Infrastructure for Deep Learning Workloads. ACM Trans. Archit. Code Optim. 17(4): 39:1-39:26 (2020) - [c106]Se Jung Kwon, Dongsoo Lee, Byeongwook Kim, Parichay Kapoor, Baeseong Park, Gu-Yeon Wei:
Structured Compression by Weight Encryption for Unstructured Pruning and Quantization. CVPR 2020: 1906-1915 - [c105]Thierry Tambe, En-Yu Yang, Zishen Wan, Yuntian Deng, Vijay Janapa Reddi, Alexander M. Rush, David Brooks, Gu-Yeon Wei:
Algorithm-Hardware Co-Design of Adaptive Floating-Point Encodings for Resilient Deep Learning Inference. DAC 2020: 1-6 - [c104]Antonino Tumeo, Marco Minutoli, Vito Giovanni Castellana, Joseph B. Manzano, Vinay Amatya, David Brooks, Gu-Yeon Wei:
Invited: Software Defined Accelerators From Learning Tools Environment. DAC 2020: 1-6 - [c103]Glenn G. Ko, Yuji Chai, Marco Donato, Paul N. Whatmough, Thierry Tambe, Rob A. Rutenbar, Gu-Yeon Wei, David Brooks:
A Scalable Bayesian Inference Accelerator for Unsupervised Learning. Hot Chips Symposium 2020: 1-27 - [c102]Marco Minutoli, Vito Giovanni Castellana, Cheng Tan, Joseph B. Manzano, Vinay Amatya, Antonino Tumeo, David Brooks, Gu-Yeon Wei:
SODA: a New Synthesis Infrastructure for Agile Hardware Design of Machine Learning Accelerators. ICCAD 2020: 98:1-98:7 - [c101]Samuel Hsia, Udit Gupta, Mark Wilkening, Carole-Jean Wu, Gu-Yeon Wei, David Brooks:
Cross-Stack Workload Characterization of Deep Recommendation Systems. IISWC 2020: 157-168 - [c100]Udit Gupta, Samuel Hsia, Vikram Saraph, Xiaodong Wang, Brandon Reagen, Gu-Yeon Wei, Hsien-Hsin S. Lee, David Brooks, Carole-Jean Wu:
DeepRecSys: A System for Optimizing End-To-End At-Scale Neural Recommendation Inference. ISCA 2020: 982-995 - [c99]Kshitij Bhardwaj, Marton Havasi, Yuan Yao, David M. Brooks, José Miguel Hernández-Lobato, Gu-Yeon Wei:
A comprehensive methodology to determine optimal coherence interfaces for many-accelerator SoCs. ISLPED 2020: 145-150 - [c98]Peter Mattson, Christine Cheng, Gregory F. Diamos, Cody Coleman, Paulius Micikevicius, David A. Patterson, Hanlin Tang, Gu-Yeon Wei, Peter Bailis, Victor Bittorf, David Brooks, Dehao Chen, Debo Dutta, Udit Gupta, Kim M. Hazelwood, Andy Hock, Xinyuan Huang, Daniel Kang, David Kanter, Naveen Kumar, Jeffery Liao, Deepak Narayanan, Tayo Oguntebi, Gennady Pekhimenko, Lillian Pentecost, Vijay Janapa Reddi, Taylor Robie, Tom St. John, Carole-Jean Wu, Lingjie Xu, Cliff Young, Matei Zaharia:
MLPerf Training Benchmark. MLSys 2020 - [c97]Yu Wang, Gu-Yeon Wei, David Brooks:
A Systematic Methodology for Analysis of Deep Learning Hardware and Software Platforms. MLSys 2020 - [c96]Glenn G. Ko, Yuji Chai, Marco Donato, Paul N. Whatmough, Thierry Tambe, Rob A. Rutenbar, David Brooks, Gu-Yeon Wei:
A 3mm2 Programmable Bayesian Inference Accelerator for Unsupervised Machine Perception using Parallel Gibbs Sampling in 16nm. VLSI Circuits 2020: 1-2 - [i17]Udit Gupta, Samuel Hsia, Vikram Saraph, Xiaodong Wang, Brandon Reagen, Gu-Yeon Wei, Hsien-Hsin S. Lee, David Brooks, Carole-Jean Wu:
DeepRecSys: A System for Optimizing End-To-End At-scale Neural Recommendation Inference. CoRR abs/2001.02772 (2020) - [i16]Paul N. Whatmough, Marco Donato, Glenn G. Ko, David Brooks, Gu-Yeon Wei:
CHIPKIT: An agile, reusable open-source framework for rapid test chip development. CoRR abs/2001.04504 (2020) - [i15]Brandon Reagen, Wooseok Choi, Yeongil Ko, Vincent T. Lee, Gu-Yeon Wei, Hsien-Hsin S. Lee, David Brooks:
Cheetah: Optimizations and Methods for PrivacyPreserving Inference via Homomorphic Encryption. CoRR abs/2006.00505 (2020) - [i14]Samuel Hsia, Udit Gupta, Mark Wilkening, Carole-Jean Wu, Gu-Yeon Wei, David Brooks:
Cross-Stack Workload Characterization of Deep Recommendation Systems. CoRR abs/2010.05037 (2020) - [i13]Udit Gupta, Young Geun Kim, Sylvia Lee, Jordan Tse, Hsien-Hsin S. Lee, Gu-Yeon Wei, David Brooks, Carole-Jean Wu:
Chasing Carbon: The Elusive Environmental Footprint of Computing. CoRR abs/2011.02839 (2020) - [i12]Thierry Tambe, Coleman Hooper, Lillian Pentecost, En-Yu Yang, Marco Donato, Victor Sanh, Alexander M. Rush, David Brooks, Gu-Yeon Wei:
EdgeBERT: Optimizing On-Chip Inference for Multi-Task NLP. CoRR abs/2011.14203 (2020)
2010 – 2019
- 2019
- [j40]Kshitij Bhardwaj, Marton Havasi, Yuan Yao, David M. Brooks, José Miguel Hernández-Lobato, Gu-Yeon Wei:
Determining Optimal Coherency Interface for Many-Accelerator SoCs Using Bayesian Optimization. IEEE Comput. Archit. Lett. 18(2): 119-123 (2019) - [j39]Sae Kyu Lee, Paul N. Whatmough, David Brooks, Gu-Yeon Wei:
A 16-nm Always-On DNN Processor With Adaptive Clocking and Multi-Cycle Banked SRAMs. IEEE J. Solid State Circuits 54(7): 1982-1992 (2019) - [j38]Marco Donato, Lillian Pentecost, David Brooks, Gu-Yeon Wei:
MEMTI: Optimizing On-Chip Nonvolatile Storage for Visual Multitask Inference at the Edge. IEEE Micro 39(6): 73-81 (2019) - [j37]Yu Wang, Victor Lee, Gu-Yeon Wei, David M. Brooks:
Predicting New Workload or CPU Performance by Analyzing Public Datasets. ACM Trans. Archit. Code Optim. 15(4): 53:1-53:21 (2019) - [c95]Udit Gupta, Brandon Reagen, Lillian Pentecost, Marco Donato, Thierry Tambe, Alexander M. Rush, Gu-Yeon Wei, David Brooks:
MASR: A Modular Accelerator for Sparse RNNs. PACT 2019: 1-14 - [c94]Glenn G. Ko, Yuji Chai, Rob A. Rutenbar, David Brooks, Gu-Yeon Wei:
FlexGibbs: Reconfigurable Parallel Gibbs Sampling Accelerator for Structured Graphs. FCCM 2019: 334 - [c93]Glenn G. Ko, Yuji Chai, Rob A. Rutenbar, David Brooks, Gu-Yeon Wei:
Accelerating Bayesian Inference on Structured Graphs Using Parallel Gibbs Sampling. FPL 2019: 159-165 - [c92]Yu Emma Wang, Yuhao Zhu, Glenn G. Ko, Brandon Reagen, Gu-Yeon Wei, David Brooks:
Demystifying Bayesian Inference Workloads. ISPASS 2019: 177-189 - [c91]Lillian Pentecost, Marco Donato, Brandon Reagen, Udit Gupta, Siming Ma, Gu-Yeon Wei, David Brooks:
MaxNVM: Maximizing DNN Storage Density and Inference Efficiency with Sparse Encoding and Error Mitigation. MICRO 2019: 769-781 - [c90]Lillian Pentecost, Udit Gupta, Elisa Ngan, Johanna Beyer, Gu-Yeon Wei, David Brooks, Michael Behrisch:
CHAMPVis: Comparative Hierarchical Analysis of Microarchitectural Performance. ProTools@SC 2019: 55-61 - [c89]Paul N. Whatmough, Sae Kyu Lee, Marco Donato, Hsea-Ching Hsueh, Sam Likun Xi, Udit Gupta, Lillian Pentecost, Glenn G. Ko, David M. Brooks, Gu-Yeon Wei:
A 16nm 25mm2 SoC with a 54.5x Flexibility-Efficiency Range from Dual-Core Arm Cortex-A53 to eFPGA and Cache-Coherent Accelerators. VLSI Circuits 2019: 34- - [i11]Dongsoo Lee, Se Jung Kwon, Byeongwook Kim, Parichay Kapoor, Gu-Yeon Wei:
Network Pruning for Low-Rank Binary Indexing. CoRR abs/1905.05686 (2019) - [i10]Se Jung Kwon, Dongsoo Lee, Byeongwook Kim, Parichay Kapoor, Baeseong Park, Gu-Yeon Wei:
Structured Compression by Unstructured Pruning for Sparse Quantized Neural Networks. CoRR abs/1905.10138 (2019) - [i9]Dongsoo Lee, Se Jung Kwon, Byeongwook Kim, Gu-Yeon Wei:
Learning Low-Rank Approximation for CNNs. CoRR abs/1905.10145 (2019) - [i8]Yu Wang, Gu-Yeon Wei, David Brooks:
Benchmarking TPU, GPU, and CPU Platforms for Deep Learning. CoRR abs/1907.10701 (2019) - [i7]Thierry Tambe, En-Yu Yang, Zishen Wan, Yuntian Deng, Vijay Janapa Reddi, Alexander M. Rush, David Brooks, Gu-Yeon Wei:
AdaptivFloat: A Floating-point based Data Type for Resilient Deep Learning Inference. CoRR abs/1909.13271 (2019) - [i6]Peter Mattson, Christine Cheng, Cody Coleman, Greg Diamos, Paulius Micikevicius, David A. Patterson, Hanlin Tang, Gu-Yeon Wei, Peter Bailis, Victor Bittorf, David Brooks, Dehao Chen, Debojyoti Dutta, Udit Gupta, Kim M. Hazelwood, Andrew Hock, Xinyuan Huang, Bill Jia, Daniel Kang, David Kanter, Naveen Kumar, Jeffery Liao, Guokai Ma, Deepak Narayanan, Tayo Oguntebi, Gennady Pekhimenko, Lillian Pentecost, Vijay Janapa Reddi, Taylor Robie, Tom St. John, Carole-Jean Wu, Lingjie Xu, Cliff Young, Matei Zaharia:
MLPerf Training Benchmark. CoRR abs/1910.01500 (2019) - [i5]Siming Ma, David Brooks, Gu-Yeon Wei:
A binary-activation, multi-level weight RNN and training algorithm for processing-in-memory inference with eNVM. CoRR abs/1912.00106 (2019) - [i4]Sam Likun Xi, Yuan Yao, Kshitij Bhardwaj, Paul N. Whatmough, Gu-Yeon Wei, David Brooks:
SMAUG: End-to-End Full-Stack Simulation Infrastructure for Deep Learning Workloads. CoRR abs/1912.04481 (2019) - 2018
- [j36]Paul N. Whatmough, Sae Kyu Lee, David M. Brooks, Gu-Yeon Wei:
DNN Engine: A 28-nm Timing-Error Tolerant Sparse Deep Neural Network Processor for IoT Applications. IEEE J. Solid State Circuits 53(9): 2722-2731 (2018) - [j35]Rafael Garibotti, Brandon Reagen, Yakun Sophia Shao, Gu-Yeon Wei, David M. Brooks:
Assisting High-Level Synthesis Improve SpMV Benchmark Through Dynamic Dependence Analysis. IEEE Trans. Circuits Syst. II Express Briefs 65-II(10): 1440-1444 (2018) - [j34]Simon Chaput, David M. Brooks, Gu-Yeon Wei:
An Area-Efficient 8-Bit Single-Ended ADC With Extended Input Voltage Range. IEEE Trans. Circuits Syst. II Express Briefs 65-II(11): 1549-1553 (2018) - [c88]Brandon Reagen, Udit Gupta, Lillian Pentecost, Paul N. Whatmough, Sae Kyu Lee, Niamh Mulholland, David M. Brooks, Gu-Yeon Wei:
Ares: a framework for quantifying the resilience of deep neural networks. DAC 2018: 17:1-17:6 - [c87]Marco Donato, Brandon Reagen, Lillian Pentecost, Udit Gupta, David Brooks, Gu-Yeon Wei:
On-chip deep neural network storage with multi-level eNVM. DAC 2018: 169:1-169:6 - [c86]Sae Kyu Lee, Paul N. Whatmough, Niamh Mulholland, Patrick Hansen, David Brooks, Gu-Yeon Wei:
A Wide Dynamic Range Sparse FC-DNN Processor with Multi-Cycle Banked SRAM Read and Adaptive Clocking in 16nm FinFET. ESSCIRC 2018: 158-161 - [c85]Brandon Reagen, Udit Gupta, Robert Adolf, Michael Mitzenmacher, Alexander M. Rush, Gu-Yeon Wei, David Brooks:
Weightless: Lossy weight encoding for deep neural network compression. ICLR (Workshop) 2018 - [c84]Brandon Reagen, Udit Gupta, Bob Adolf, Michael Mitzenmacher, Alexander M. Rush, Gu-Yeon Wei, David Brooks:
Weightless: Lossy weight encoding for deep neural network compression. ICML 2018: 4321-4330 - [i3]Yuhao Zhu, Gu-Yeon Wei, David M. Brooks:
Cloud No Longer a Silver Bullet, Edge to the Rescue. CoRR abs/1802.05943 (2018) - 2017
- [b1]Brandon Reagen, Robert Adolf, Paul N. Whatmough, Gu-Yeon Wei, David M. Brooks:
Deep Learning for Computer Architects. Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers 2017, ISBN 978-3-031-00628-9 - [j33]Simone Campanoni, Kevin Brownell, Svilen Kanev, Timothy M. Jones, Gu-Yeon Wei, David M. Brooks:
Automatically accelerating non-numerical programs by architecture-compiler co-design. Commun. ACM 60(12): 88-97 (2017) - [j32]Xuan Zhang, Mario Lok, Tao Tong, Sae Kyu Lee, Brandon Reagen, Simon Chaput, Pierre-Emile J. Duhamel, Robert J. Wood, David M. Brooks, Gu-Yeon Wei:
A Fully Integrated Battery-Powered System-on-Chip in 40-nm CMOS for Closed-Loop Control of Insect-Scale Pico-Aerial Vehicle. IEEE J. Solid State Circuits 52(9): 2374-2387 (2017) - [j31]Yuhao Zhu, Vijay Janapa Reddi, Robert Adolf, Saketh Rama, Brandon Reagen, Gu-Yeon Wei, David M. Brooks:
Cognitive Computing Safety: The New Horizon for Reliability / The Design and Evolution of Deep Learning Workloads. IEEE Micro 37(1): 15-21 (2017) - [j30]Sae Kyu Lee, Tao Tong, Xuan Zhang, David M. Brooks, Gu-Yeon Wei:
A 16-Core Voltage-Stacked System With Adaptive Clocking and an Integrated Switched-Capacitor DC-DC Converter. IEEE Trans. Very Large Scale Integr. Syst. 25(4): 1271-1284 (2017) - [c83]Paul N. Whatmough, Sae Kyu Lee, Gu-Yeon Wei, David M. Brooks:
Sub-uJ deep neural networks for embedded applications. ACSSC 2017: 1912-1915 - [c82]Svilen Kanev, Sam Likun Xi, Gu-Yeon Wei, David M. Brooks:
Mallacc: Accelerating Memory Allocation. ASPLOS 2017: 33-45 - [c81]An Zou, Jingwen Leng, Yazhou Zu, Tao Tong, Vijay Janapa Reddi, David M. Brooks, Gu-Yeon Wei, Xuan Zhang:
Ivory: Early-Stage Design Space Exploration Tool for Integrated Voltage Regulators. DAC 2017: 1:1-1:6 - [c80]Sreela Kodali, Patrick Hansen, Niamh Mulholland, Paul N. Whatmough, David M. Brooks, Gu-Yeon Wei:
Applications of Deep Neural Networks for Ultra Low Power IoT. ICCD 2017: 589-592 - [c79]Ramon Bertran, Pradip Bose, David M. Brooks, Jeff Burns, Alper Buyuktosunoglu, Nandhini Chandramoorthy, Eric Cheng, Martin Cochet, Schuyler Eldridge, Daniel Friedman, Hans M. Jacobson, Rajiv V. Joshi, Subhasish Mitra, Robert K. Montoye, Arun Paidimarri, Pritish Parida, Kevin Skadron, Mircea Stan, Karthik Swaminathan, Augusto Vega, Swagath Venkataramani, Christos Vezyrtzis, Gu-Yeon Wei, John-David Wellman, Matthew M. Ziegler:
Very Low Voltage (VLV) Design. ICCD 2017: 601-604 - [c78]Rafael Garibotti, Brandon Reagen, Yakun Sophia Shao, Gu-Yeon Wei, David M. Brooks:
Using dynamic dependence analysis to improve the quality of high-level synthesis designs. ISCAS 2017: 1-4 - [c77]Brandon Reagen, José Miguel Hernández-Lobato, Robert Adolf, Michael A. Gelbart, Paul N. Whatmough, Gu-Yeon Wei, David M. Brooks:
A case for efficient accelerator design space exploration via Bayesian optimization. ISLPED 2017: 1-6 - [c76]Paul N. Whatmough, Sae Kyu Lee, Hyunkwang Lee, Saketh Rama, David M. Brooks, Gu-Yeon Wei:
14.3 A 28nm SoC with a 1.2GHz 568nJ/prediction sparse deep-neural-network engine with >0.1 timing error rate tolerance for IoT applications. ISSCC 2017: 242-243 - [c75]Simon Chaput, David M. Brooks, Gu-Yeon Wei:
21.5 A 3-to-5V input 100Vpp output 57.7mW 0.42% THD+N highly integrated piezoelectric actuator driver. ISSCC 2017: 360-361 - [c74]Brandon Reagen, Yakun Sophia Shao, Sam Likun Xi, Gu-Yeon Wei, David Brooks:
Methods and infrastructure in the era of accelerator-centric architectures. MWSCAS 2017: 902-905 - [i2]Brandon Reagen, Udit Gupta, Robert Adolf, Michael M. Mitzenmacher, Alexander M. Rush, Gu-Yeon Wei, David M. Brooks:
Weightless: Lossy Weight Encoding For Deep Neural Network Compression. CoRR abs/1711.04686 (2017) - 2016
- [j29]Tao Tong, Sae Kyu Lee, Xuan Zhang, David M. Brooks, Gu-Yeon Wei:
A Fully Integrated Reconfigurable Switched-Capacitor DC-DC Converter With Four Stacked Output Channels for Voltage Stacking Applications. IEEE J. Solid State Circuits 51(9): 2142-2152 (2016) - [j28]Svilen Kanev, Juan Pablo Darago, Kim M. Hazelwood, Parthasarathy Ranganathan, Tipp Moseley, Gu-Yeon Wei, David M. Brooks:
Profiling a Warehouse-Scale Computer. IEEE Micro 36(3): 54-59 (2016) - [c73]Robert Adolf, Saketh Rama, Brandon Reagen, Gu-Yeon Wei, David M. Brooks:
Fathom: reference workloads for modern deep learning methods. IISWC 2016: 148-157 - [c72]Brandon Reagen, Paul N. Whatmough, Robert Adolf, Saketh Rama, Hyunkwang Lee, Sae Kyu Lee, José Miguel Hernández-Lobato, Gu-Yeon Wei, David M. Brooks:
Minerva: Enabling Low-Power, Highly-Accurate Deep Neural Network Accelerators. ISCA 2016: 267-278 - [c71]Yakun Sophia Shao, Sam Likun Xi, Vijayalakshmi Srinivasan, Gu-Yeon Wei, David M. Brooks:
Co-designing accelerators and SoC interfaces using gem5-Aladdin. MICRO 2016: 48:1-48:12 - [i1]Robert Adolf, Saketh Rama, Brandon Reagen, Gu-Yeon Wei, David M. Brooks:
Fathom: Reference Workloads for Modern Deep Learning Methods. CoRR abs/1608.06581 (2016) - 2015
- [j27]Yakun Sophia Shao, Brandon Reagen, Gu-Yeon Wei, David M. Brooks:
The Aladdin Approach to Accelerator Design and Modeling. IEEE Micro 35(3): 58-70 (2015) - [c70]Simone Campanoni, Glenn H. Holloway, Gu-Yeon Wei, David M. Brooks:
HELIX-UP: relaxing program semantics to unleash parallelization. CGO 2015: 235-245 - [c69]Mario Lok, Xuan Zhang, Elizabeth Farrell Helbling, Robert J. Wood, David M. Brooks, Gu-Yeon Wei:
A power electronics unit to drive piezoelectric actuators for flying microrobots. CICC 2015: 1-4 - [c68]Sam Likun Xi, Hans M. Jacobson, Pradip Bose, Gu-Yeon Wei, David M. Brooks:
Quantifying sources of error in McPAT and potential impacts on architectural studies. HPCA 2015: 577-589 - [c67]Svilen Kanev, Juan Pablo Darago, Kim M. Hazelwood, Parthasarathy Ranganathan, Tipp Moseley, Gu-Yeon Wei, David M. Brooks:
Profiling a warehouse-scale computer. ISCA 2015: 158-169 - [c66]Xuan Zhang, Mario Lok, Tao Tong, Simon Chaput, Sae Kyu Lee, Brandon Reagen, Hyunkwang Lee, David M. Brooks, Gu-Yeon Wei:
A multi-chip system optimized for insect-scale flapping-wing robots. VLSIC 2015: 152- - [c65]Sae Kyu Lee, Tao Tong, Xuan Zhang, David M. Brooks, Gu-Yeon Wei:
A 16-core voltage-stacked system with an integrated switched-capacitor DC-DC converter. VLSIC 2015: 318- - 2014
- [j26]Xuan Zhang, Tao Tong, David M. Brooks, Gu-Yeon Wei:
Evaluating Adaptive Clocking for Supply-Noise Resilience in Battery-Powered Aerial Microrobotic System-on-Chip. IEEE Trans. Circuits Syst. I Regul. Pap. 61-I(8): 2309-2317 (2014) - [j25]Hayun Chung, Gu-Yeon Wei:
ADC-Based Backplane Receiver Design-Space Exploration. IEEE Trans. Very Large Scale Integr. Syst. 22(7): 1539-1547 (2014) - [c64]Michael J. Lyons, Gu-Yeon Wei, David M. Brooks:
Multi-accelerator system development with the ShrinkFit acceleration framework. ICCD 2014: 75-82 - [c63]Svilen Kanev, Kim M. Hazelwood, Gu-Yeon Wei, David M. Brooks:
Tradeoffs between power management and tail latency in warehouse-scale applications. IISWC 2014: 31-40 - [c62]Brandon Reagen, Robert Adolf, Yakun Sophia Shao, Gu-Yeon Wei, David M. Brooks:
MachSuite: Benchmarks for accelerator design and customized architectures. IISWC 2014: 110-119 - [c61]Yakun Sophia Shao, Brandon Reagen, Gu-Yeon Wei, David M. Brooks:
Aladdin: A pre-RTL, power-performance accelerator simulator enabling large design space exploration of customized architectures. ISCA 2014: 97-108 - [c60]Simone Campanoni, Kevin Brownell, Svilen Kanev, Timothy M. Jones, Gu-Yeon Wei, David M. Brooks:
HELIX-RC: An architecture-compiler co-design for automatic parallelization of irregular programs. ISCA 2014: 217-228 - 2013
- [j24]Michael J. Lyons, Gu-Yeon Wei, David M. Brooks:
Shrink-Fit: A Framework for Flexible Accelerator Sizing. IEEE Comput. Archit. Lett. 12(1): 17-20 (2013) - [c59]Tao Tong, Xuan Zhang, Wonyoung Kim, David M. Brooks, Gu-Yeon Wei:
A fully integrated battery-connected switched-capacitor 4: 1 voltage regulator with 70% peak efficiency using bottom-plate charge recycling. CICC 2013: 1-4 - [c58]Xuan Zhang, Tao Tong, David M. Brooks, Gu-Yeon Wei:
Supply-noise resilient adaptive clocking for battery-powered aerial microrobotic System-on-Chip in 40nm CMOS. CICC 2013: 1-4 - [c57]Xuan Zhang, Tao Tong, Svilen Kanev, Sae Kyu Lee, Gu-Yeon Wei, David M. Brooks:
Characterizing and evaluating voltage noise in multi-core near-threshold processors. ISLPED 2013: 82-87 - [c56]Brandon Reagen, Yakun Sophia Shao, Gu-Yeon Wei, David M. Brooks:
Quantifying acceleration: Power/performance trade-offs of application kernels in hardware. ISLPED 2013: 395-400 - 2012
- [j23]Wonyoung Kim, David M. Brooks, Gu-Yeon Wei:
A Fully-Integrated 3-Level DC-DC Converter for Nanosecond-Scale DVFS. IEEE J. Solid State Circuits 47(1): 206-219 (2012) - [j22]Simone Campanoni, Timothy M. Jones, Glenn H. Holloway, Gu-Yeon Wei, David M. Brooks:
Helix: Making the Extraction of Thread-Level Parallelism Mainstream. IEEE Micro 32(4): 8-18 (2012) - [j21]Michael J. Lyons, Mark Hempstead, Gu-Yeon Wei, David M. Brooks:
The accelerator store: A shared memory framework for accelerator-based systems. ACM Trans. Archit. Code Optim. 8(4): 48:1-48:22 (2012) - [c55]Simone Campanoni, Timothy M. Jones, Glenn H. Holloway, Vijay Janapa Reddi, Gu-Yeon Wei, David M. Brooks:
HELIX: automatic parallelization of irregular programs for chip multiprocessing. CGO 2012: 84-93 - [c54]Simone Campanoni, Timothy M. Jones, Glenn H. Holloway, Gu-Yeon Wei, David M. Brooks:
The HELIX project: overview and directions. DAC 2012: 277-282 - [c53]Svilen Kanev, Gu-Yeon Wei, David M. Brooks:
XIOSim: power-performance modeling of mobile x86 cores. ISLPED 2012: 267-272 - [c52]Sae Kyu Lee, David M. Brooks, Gu-Yeon Wei:
Evaluation of voltage stacking for near-threshold multicore computing. ISLPED 2012: 373-378 - 2011
- [j20]Mark Hempstead, David M. Brooks, Gu-Yeon Wei:
An Accelerator-Based Wireless Sensor Network Processor in 130 nm CMOS. IEEE J. Emerg. Sel. Topics Circuits Syst. 1(2): 193-202 (2011) - [j19]Vijay Janapa Reddi, Svilen Kanev, Wonyoung Kim, Simone Campanoni, Michael D. Smith, Gu-Yeon Wei, David M. Brooks:
Voltage Noise in Production Processors. IEEE Micro 31(1): 20-28 (2011) - [j18]Kevin Brownell, Ali Durlov Khan, Gu-Yeon Wei, David M. Brooks:
Automating Design of Voltage Interpolation to Address Process Variations. IEEE Trans. Very Large Scale Integr. Syst. 19(3): 383-396 (2011) - [c51]Ankur Agrawal, Pavan Kumar Hanumolu, Gu-Yeon Wei:
Area efficient phase calibration of a 1.6 GHz multiphase DLL. CICC 2011: 1-4 - [c50]Krishna K. Rangan, Michael D. Powell, Gu-Yeon Wei, David M. Brooks:
Achieving uniform performance and maximizing throughput in the presence of heterogeneity. HPCA 2011: 3-14 - [c49]Pierre-Emile Duhamel, Judson Porter, Benjamin M. Finio, Geoffrey L. Barrows, David M. Brooks, Gu-Yeon Wei, Robert J. Wood:
Hardware in the loop for optical flow sensing in a robotic bee. IROS 2011: 1099-1106 - [c48]Wonyoung Kim, David M. Brooks, Gu-Yeon Wei:
A fully-integrated 3-level DC/DC converter for nanosecond-scale DVS with fast shunt regulation. ISSCC 2011: 268-270 - 2010
- [j17]Michael J. Lyons, Mark Hempstead, Gu-Yeon Wei, David M. Brooks:
The Accelerator Store framework for high-performance, low-power accelerator-based systems. IEEE Comput. Archit. Lett. 9(2): 53-56 (2010) - [j16]Vijay Janapa Reddi, Meeta Sharma Gupta, Glenn H. Holloway, Michael D. Smith, Gu-Yeon Wei, David M. Brooks:
Predicting Voltage Droops Using Recurring Program and Microarchitectural Event Activity. IEEE Micro 30(1): 110 (2010) - [j15]Vijay Janapa Reddi, Simone Campanoni, Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, David M. Brooks, Kim M. Hazelwood:
Eliminating voltage emergencies via software-guided code transformations. ACM Trans. Archit. Code Optim. 7(2): 12:1-12:28 (2010) - [c47]Michael Karpelson, John Peter Whitney, Gu-Yeon Wei, Robert J. Wood:
Energetics of flapping-wing robotic insects: towards autonomous hovering flight. IROS 2010: 1630-1637 - [c46]Vijay Janapa Reddi, Svilen Kanev, Wonyoung Kim, Simone Campanoni, Michael D. Smith, Gu-Yeon Wei, David M. Brooks:
Voltage Smoothing: Characterizing and Mitigating Voltage Noise in Production Processors via Software-Guided Thread Scheduling. MICRO 2010: 77-88
2000 – 2009
- 2009
- [j14]Ankur Agrawal, Andrew Liu, Pavan Kumar Hanumolu, Gu-Yeon Wei:
An 8×5 Gb/s Parallel Receiver With Collaborative Timing Recovery. IEEE J. Solid State Circuits 44(11): 3120-3130 (2009) - [j13]Xiaoyao Liang, Gu-Yeon Wei, David M. Brooks:
Revival: A Variation-Tolerant Architecture Using Voltage Interpolation and Variable Latency. IEEE Micro 29(1): 127-138 (2009) - [c45]Mark Hempstead, Gu-Yeon Wei, David M. Brooks:
An accelerator-based wireless sensor network processor in 130nm CMOS. CASES 2009: 215-222 - [c44]Hayun Chung, Gu-Yeon Wei:
Design-space exploration of backplane receivers with high-speed ADCs and digital equalization. CICC 2009: 555-558 - [c43]Gu-Yeon Wei, Afshin Momtaz:
Digital wireline and PLL techniques. CICC 2009 - [c42]Vijay Janapa Reddi, Simone Campanoni, Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, David M. Brooks:
Software-assisted hardware reliability: abstracting circuit-level challenges to the software stack. DAC 2009: 788-793 - [c41]Meeta Sharma Gupta, Vijay Janapa Reddi, Glenn H. Holloway, Gu-Yeon Wei, David M. Brooks:
An event-guided approach to reducing voltage noise in processors. DATE 2009: 160-165 - [c40]Vijay Janapa Reddi, Meeta Sharma Gupta, Glenn H. Holloway, Gu-Yeon Wei, Michael D. Smith, David M. Brooks:
Voltage emergency prediction: Using signatures to reduce operating margins. HPCA 2009: 18-29 - [c39]Xiaoyao Liang, Benjamin C. Lee, Gu-Yeon Wei, David M. Brooks:
Design and test strategies for microarchitectural post-fabrication tuning. ICCD 2009: 84-90 - [c38]Kristen Lovin, Benjamin C. Lee, Xiaoyao Liang, David M. Brooks, Gu-Yeon Wei:
Empirical performance models for 3T1D memories. ICCD 2009: 398-403 - [c37]Michael Karpelson, Gu-Yeon Wei, Robert J. Wood:
Milligram-scale high-voltage power electronics for piezoelectric microrobots. ICRA 2009: 2217-2224 - [c36]Krishna K. Rangan, Gu-Yeon Wei, David M. Brooks:
Thread motion: fine-grained power management for multi-core systems. ISCA 2009: 302-313 - [c35]Kevin Brownell, Ali Durlov Khan, David M. Brooks, Gu-Yeon Wei:
Place and route considerations for voltage interpolated designs. ISQED 2009: 594-600 - [c34]Meeta Sharma Gupta, Jude A. Rivers, Pradip Bose, Gu-Yeon Wei, David M. Brooks:
Tribeca: design for PVT variations with local recovery and fine-grained adaptation. MICRO 2009: 435-446 - 2008
- [j12]Mark Hempstead, Michael J. Lyons, David M. Brooks, Gu-Yeon Wei:
Survey of Hardware Systems for Wireless Sensor Networks. J. Low Power Electron. 4(1): 11-20 (2008) - [j11]Pavan Kumar Hanumolu, Volodymyr Kratyuk, Gu-Yeon Wei, Un-Ku Moon:
A Sub-Picosecond Resolution 0.5-1.5 GHz Digital-to-Phase Converter. IEEE J. Solid State Circuits 43(2): 414-424 (2008) - [j10]Pavan Kumar Hanumolu, Gu-Yeon Wei, Un-Ku Moon:
A Wide-Tracking Range Clock and Data Recovery Circuit. IEEE J. Solid State Circuits 43(2): 425-439 (2008) - [j9]Belal Helal, Matthew Z. Straayer, Gu-Yeon Wei, Michael H. Perrott:
A Highly Digital MDLL-Based Clock Multiplier That Leverages a Self-Scrambling Time-to-Digital Converter to Achieve Subpicosecond Jitter Performance. IEEE J. Solid State Circuits 43(4): 855-863 (2008) - [j8]Ruwan N. S. Ratnayake, Aleksandar Kavcic, Gu-Yeon Wei:
A High-Throughput Maximum a Posteriori Probability Detector. IEEE J. Solid State Circuits 43(8): 1846-1858 (2008) - [j7]Xiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David M. Brooks:
Replacing 6T SRAMs with 3T1D DRAMs in the L1 Data Cache to Combat Process Variability. IEEE Micro 28(1): 60-68 (2008) - [c33]Ankur Agrawal, Pavan Kumar Hanumolu, Gu-Yeon Wei:
A 8×5 Gb/s source-synchronous receiver with clock generator phase error correction. CICC 2008: 459-462 - [c32]Hayun Chung, Andrew Liu, Gu-Yeon Wei:
A 12.5-Gbps, 7-bit transmit DAC with 4-tap LUT-based equalization in 0.13μm CMOS. CICC 2008: 563-566 - [c31]Wonyoung Kim, Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks:
System level analysis of fast, per-core DVFS using on-chip switching regulators. HPCA 2008: 123-134 - [c30]Meeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith, Gu-Yeon Wei, David M. Brooks:
DeCoR: A Delayed Commit and Rollback mechanism for handling inductive noise in processors. HPCA 2008: 381-392 - [c29]Kevin Brownell, Gu-Yeon Wei, David M. Brooks:
Evaluation of voltage interpolation to address process variations. ICCAD 2008: 529-536 - [c28]Michael Karpelson, Gu-Yeon Wei, Robert J. Wood:
A review of actuation and power electronics options for flapping-wing robotic insects. ICRA 2008: 779-786 - [c27]Xiaoyao Liang, Gu-Yeon Wei, David M. Brooks:
ReVIVaL: A Variation-Tolerant Architecture Using Voltage Interpolation and Variable Latency. ISCA 2008: 191-202 - [c26]Mark Hempstead, Gu-Yeon Wei, David M. Brooks:
System design considerations for sensor network applications. ISCAS 2008: 2566-2569 - [c25]Xuning Chen, Gu-Yeon Wei, Li-Shiuan Peh:
Design of low-power short-distance opto-electronic transceiver front-ends with scalable supply voltages and frequencies. ISLPED 2008: 277-282 - [c24]Gu-Yeon Wei, David M. Brooks, Ali Durlov Khan, Xiaoyao Liang:
Instruction-driven clock scheduling with glitch mitigation. ISLPED 2008: 357-362 - [c23]Xiaoyao Liang, David M. Brooks, Gu-Yeon Wei:
A Process-Variation-Tolerant Floating-Point Unit with Voltage Interpolation and Variable Latency. ISSCC 2008: 404-405 - [c22]Ankur Agrawal, Pavan Kumar Hanumolu, Gu-Yeon Wei:
An 8×3.2Gb/s Parallel Receiver with Collaborative Timing Recovery. ISSCC 2008: 468-469 - 2007
- [c21]Pavan Kumar Hanumolu, Gu-Yeon Wei, Un-Ku Moon, Kartikeya Mayaram:
Digitally-Enhanced Phase-Locking Circuits. CICC 2007: 361-368 - [c20]Ruwan N. S. Ratnayake, Aleksandar Kavcic, Gu-Yeon Wei:
A High-Throughput Maximum a posteriori Probability Detector. CICC 2007: 455-458 - [c19]James R. Burnham, Gu-Yeon Wei, Chih-Kong Ken Yang, Haitham A. Hindi:
A Comprehensive Phase-Transfer Model for Delay-Locked Loops. CICC 2007: 627-630 - [c18]Meeta Sharma Gupta, Jarod L. Oatley, Russ Joseph, Gu-Yeon Wei, David M. Brooks:
Understanding voltage variations in chip multiprocessors using a distributed power-delivery network. DATE 2007: 624-629 - [c17]Ruwan N. S. Ratnayake, Erich F. Haratsch, Gu-Yeon Wei:
A Bit-Node Centric Architecture for Low-Density Parity-Check Decoders. GLOBECOM 2007: 265-270 - [c16]Ruwan N. S. Ratnayake, Erich F. Haratsch, Gu-Yeon Wei:
Serial Sum-Product Architecture for Low-Density Parity-Check Codes. ICCCN 2007: 154-158 - [c15]Meeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith, Gu-Yeon Wei, David M. Brooks:
Towards a software approach to mitigate voltage emergencies. ISLPED 2007: 123-128 - [c14]Xiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David M. Brooks:
Process Variation Tolerant 3T1D-Based Cache Architectures. MICRO 2007: 15-26 - 2006
- [c13]Mark Hempstead, Gu-Yeon Wei, David M. Brooks:
Architecture and circuit techniques for low-throughput, energy-constrained systems across technology generations. CASES 2006: 368-378 - [c12]Andrew W. Howard, Gu-Yeon Wei, William J. Dally, Paul Horowitz:
Pulsenet - A Parallel Flash Sampler and Digital Processor IC for Optical SETI. CICC 2006: 261-264 - [c11]Amber Han-Yuan Tan, Gu-Yeon Wei:
Phase Mismatch Detection and Compensation for PLL/DLL Based Multi-Phase Clock Generator. CICC 2006: 417-420 - [c10]Pavan Kumar Hanumolu, Min-Gyu Kim, Gu-Yeon Wei, Un-Ku Moon:
A 1.6Gbps Digital Clock and Data Recovery Circuit. CICC 2006: 603-606 - [c9]Amber Han-Yuan Tan, Gu-Yeon Wei:
Adaptive-Bandwidth Mixing PLL/DLL Based Multi-Phase Clock Generator for Optimal Jitter Performance. CICC 2006: 749-752 - [c8]Wai-Chi Fang, Sharon Kedar, Susan Owen, Gu-Yeon Wei, David M. Brooks, Jonathan Lees:
System-on-Chip Architecture Design for Intelligent Sensor Networks. IIH-MSP 2006: 579-582 - 2005
- [c7]Xuning Chen, Li-Shiuan Peh, Gu-Yeon Wei, Yue-Kai Huang, Paul R. Prucnal:
Exploring the Design Space of Power-Aware Opto-Electronic Networked Systems. HPCA 2005: 120-131 - [c6]Mark Hempstead, Nikhil Tripathi, Patrick Mauro, Gu-Yeon Wei, David M. Brooks:
An Ultra Low Power System Architecture for Sensor Network Applications. ISCA 2005: 208-219 - 2004
- [c5]Pavan Kumar Hanumolu, Bryan Casper, Randy Mooney, Gu-Yeon Wei, Un-Ku Moon:
Jitter in high-speed serial and parallel links. ISCAS (4) 2004: 425-428 - [c4]Ruwan N. S. Ratnayake, Gu-Yeon Wei, Aleksandar Kavcic:
Pipelined parallel architecture for high throughput MAP detectors. ISCAS (2) 2004: 505-508 - [c3]Yong-Cheol Bae, Gu-Yeon Wei:
A mixed PLL/DLL architecture for low jitter clock generation. ISCAS (4) 2004: 788-791 - 2003
- [j6]John T. Stonick, Gu-Yeon Wei, Jeff L. Sonntag, Daniel Weinlader:
An adaptive PAM-4 5-Gb/s backplane transceiver in 0.25-μm CMOS. IEEE J. Solid State Circuits 38(3): 436-443 (2003) - [j5]Michael H. Perrott, Gu-Yeon Wei:
Guest editorial. IEEE Trans. Circuits Syst. II Express Briefs 50(11): 773-774 (2003) - [j4]Jaeha Kim, Mark A. Horowitz, Gu-Yeon Wei:
Design of CMOS adaptive-bandwidth PLL/DLLs: a general approach. IEEE Trans. Circuits Syst. II Express Briefs 50(11): 860-869 (2003) - [j3]Pavan Kumar Hanumolu, Bryan Casper, Randy Mooney, Gu-Yeon Wei, Un-Ku Moon:
Analysis of PLL clock jitter in high-speed serial links. IEEE Trans. Circuits Syst. II Express Briefs 50(11): 879-886 (2003) - 2002
- [c2]Jeff L. Sonntag, John T. Stonick, James Gorecki, Bill Beale, Bill Check, Xue-Mei Gong, Joe Guiliano, Kyong Lee, Bob Lefferts, David A. Yokoyama-Martin, Un-Ku Moon, Amber Sengir, Stephen Titus, Gu-Yeon Wei, Daniel Weinlader, YaoHua Yang:
An adaptive PAM-4 5 Gb/s backplane transceiver in 0.25 μm CMOS. CICC 2002: 363-366 - 2000
- [j2]Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos, Mark A. Horowitz:
A variable-frequency parallel I/O interface with adaptive power-supply regulation. IEEE J. Solid State Circuits 35(11): 1600-1610 (2000)
1990 – 1999
- 1999
- [j1]Gu-Yeon Wei, Mark Horowitz:
A fully digital, energy-efficient, adaptive power-supply regulator. IEEE J. Solid State Circuits 34(4): 520-528 (1999) - 1996
- [c1]Gu-Yeon Wei, Mark Horowitz:
A low power switching power supply for self-clocked systems. ISLPED 1996: 313-317
Coauthor Index
aka: David M. Brooks
aka: Hsien-Hsin Sean Lee
aka: Jeff Jun Zhang
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-10-23 21:23 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint