default search action
Wim Dehaene
Person information
- affiliation: Catholic University of Leuven, Belgium
SPARQL queries
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j66]Jonas Pelgrims, Kris Myny, Wim Dehaene:
An Ultrasonic Driver Array in Metal-Oxide Thin-Film Technology Using a Hybrid TFT-Si DLL Locking Architecture. IEEE J. Solid State Circuits 59(2): 516-527 (2024) - [j65]Hikmet Çeliker, Florian De Roose, Myriam Willegems, Steve Smout, Wim Dehaene, Kris Myny:
Analysis and Comparison of Logic Architectures for Digital Circuits in a-IGZO Thin-Film Transistor Technologies. IEEE J. Solid State Circuits 59(6): 1858-1870 (2024) - [j64]Bob Vanhoof, Wim Dehaene:
A 1MHz 256kb Ultra Low Power Memory Macro for Biomedical Recording Applications in 22nm FD-SOI Using FECC to Enable Data Retention Down to 170mV Supply Voltage. IEEE Trans. Circuits Syst. I Regul. Pap. 71(1): 299-305 (2024) - [i3]Man Shi, Steven Colleman, Charlotte VanDeMieroop, Antony Joseph, Maurice Meijer, Wim Dehaene, Marian Verhelst:
CMDS: Cross-layer Dataflow Optimization for DNN Accelerators Exploiting Multi-bank Memories. CoRR abs/2406.14574 (2024) - 2023
- [j63]Thomas Bos, Marian Verhelst, Wim Dehaene:
An End-to-End Dual ASIC OFDM Transceiver for Ultrasound In-Body Communication. IEEE Trans. Biomed. Circuits Syst. 17(4): 664-673 (2023) - [j62]Maxime Feyerick, Wim Dehaene:
Dense, 11 V-Tolerant, Balanced Stimulator IC with Digital Time-Domain Calibration for $100 nA Error. IEEE Trans. Biomed. Circuits Syst. 17(5): 1166-1176 (2023) - [j61]Clara Nieto-Taladriz, Wim Dehaene:
Automated In-Situ Monitoring for Variability-Resilient and Energy-Efficient Digital Circuits Demonstrated on a Viterbi Decoder in 22-nm CMOS. IEEE Trans. Very Large Scale Integr. Syst. 31(9): 1320-1329 (2023) - [c135]Jonas Pelgrims, Kris Myny, Wim Dehaene:
A 44V Driver Array for Ultrasonic Haptic Feedback in Display Compatible Thin-Film Low Temperature Poly-Silicon. CICC 2023: 1-2 - [c134]Weijie Jiang, Pouya Houshmand, Marian Verhelst, Wim Dehaene:
A 16nm 128kB high-density fully digital In Memory Compute macro with reverse SRAM pre-charge achieving 0.36TOPs/mm2, 256kB/mm2 and 23. 8TOPs/W. ESSCIRC 2023: 409-412 - [c133]Mohit Gupta, Stefan Cosemans, Peter Debacker, Wim Dehaene:
A 2Mbit Digital in-Memory Computing Matrix-Vector Multiplier for DNN Inference supporting flexible bit precision and matrix size achieving 612 binary TOPS/W. ESSCIRC 2023: 417-420 - [c132]Mohit Dandekar, Kris Myny, Wim Dehaene:
An Active-Pixel Readout Circuit Technique towards all LTPS-TFT-on-foil Large-Area Imagers with Inherent Nonlinearity Compensation. ISCAS 2023: 1-5 - [c131]Man Shi, Steven Colleman, Charlotte VanDeMieroop, Antony Joseph, Maurice Meijer, Wim Dehaene, Marian Verhelst:
CMDS: Cross-layer Dataflow Optimization for DNN Accelerators Exploiting Multi-bank Memories. ISQED 2023: 1-8 - 2022
- [j60]Roel Uytterhoeven, Wim Dehaene:
Design Margin Reduction Through Completion Detection in a 28-nm Near-Threshold DSP Processor. IEEE J. Solid State Circuits 57(2): 651-660 (2022) - [c130]Thomas Bos, Marian Verhelst, Wim Dehaene:
A Flexible End-to-End Dual ASIC Transceiver for OFDM Ultrasound In-Body Communication. BioCAS 2022: 21-25 - [c129]Maxime Feyerick, Wim Dehaene:
An 11 V-tolerant, high-density neurostimulator using time-domain calibration in 65 nm CMOS. BioCAS 2022: 429-433 - [c128]Arthur Beckers, Roel Uytterhoeven, Thomas Vandenabeele, Jo Vliegen, Lennert Wouters, Joan Daemen, Wim Dehaene, Benedikt Gierlichs, Nele Mentens:
Energy and side-channel security evaluation of near-threshold cryptographic circuits in 28nm FD-SOI technology. CF 2022: 258-262 - [c127]Jonas Pelgrims, Kris Myny, Wim Dehaene:
A 24V Thin-Film Ultrasonic Driver for Haptic Feedback in Metal-Oxide Thin-Film Technology using Hybrid DLL Locking Architecture. ESSCIRC 2022: 69-72 - [c126]Hikmet Çeliker, Antony Sou, Brian Cobb, Wim Dehaene, Kris Myny:
Flex6502: A Flexible 8b Microprocessor in 0.8µm Metal-Oxide Thin-Film Transistor Technology Implemented with a Complete Digital Design Flow Running Complex Assembly Code. ISSCC 2022: 272-274 - [c125]Ibrahim Kazi, Patrick Reynaert, Wim Dehaene:
Clock Recovery Circuit Using a Transmission Line as a Delay Element from a 100Gb/s bit stream. NEWCAS 2022: 261-264 - 2021
- [c124]Jonas Pelgrims, Kris Myny, Wim Dehaene:
A 36V Ultrasonic Driver for Haptic Feedback Using Advanced Charge Recycling Achieving 0.20CV2f Power Consumption. ESSCIRC 2021: 159-162 - [c123]Hikmet Çeliker, Wim Dehaene, Kris Myny:
Dual-Input Pseudo-CMOS Logic for Digital Applications on Flexible Substrates. ESSCIRC 2021: 255-258 - [c122]Mohit Dandekar, Kris Myny, Wim Dehaene:
An a-IGZO TFT based Op-Amp with 57 dB DC-Gain, 311 KHz Unity-gain Freq., 75 deg. Phase Margin and 2.43 mW Power on Flexible Substrate. ESSCIRC 2021: 407-410 - [c121]Jonas Pelgrims, Kris Myny, Wim Dehaene:
A 36V Ultrasonic Driver for Haptic Feedback Using Advanced Charge Recycling Achieving 0.20CV2f Power Consumption. ESSDERC 2021: 159-162 - [c120]Hyungrock Oh, Attilio Belmonte, Manu Perumkunnil, Jérôme Mitard, Nouredine Rassoul, Gabriele Luca Donadio, Romain Delhougne, Arnaud Furnémont, Gouri Sankar Kar, Wim Dehaene:
Enhanced data integrity of In-Ga-Zn-Oxide based Capacitor-less 2T memory for DRAM applications. ESSDERC 2021: 275-278 - [c119]Jonas Doevenspeck, Peter Vrancx, Nathan Laubeuf, Arindam Mallik, Peter Debacker, Diederik Verkest, Rudy Lauwereins, Wim Dehaene:
Noise tolerant ternary weight deep neural networks for analog in-memory inference. IJCNN 2021: 1-8 - 2020
- [j59]Maarten Baert, Wim Dehaene:
A 5-GS/s 7.2-ENOB Time-Interleaved VCO-Based ADC Achieving 30.5 fJ/cs. IEEE J. Solid State Circuits 55(6): 1577-1587 (2020)
2010 – 2019
- 2019
- [j58]Yohan Frans, Wim Dehaene, Masato Motomura, Seung-Jun Bae:
Introduction to the Special Issue on the 2018 International Solid-State Circuits Conference (ISSCC). IEEE J. Solid State Circuits 54(1): 3-5 (2019) - [j57]Thomas Bos, Wentao Jiang, Jan D'hooge, Marian Verhelst, Wim Dehaene:
Enabling Ultrasound In-Body Communication: FIR Channel Models and QAM Experiments. IEEE Trans. Biomed. Circuits Syst. 13(1): 135-144 (2019) - [j56]Nele Mentens, Jan Genoe, Thomas Vandenabeele, Lynn Verschueren, Dirk Smets, Wim Dehaene, Kris Myny:
Security on Plastics: Fake or Real? IACR Trans. Cryptogr. Hardw. Embed. Syst. 2019(4): 1-16 (2019) - [c118]Thomas Bos, Wim Dehaene, Marian Verhelst:
Ultrasound In-Body Communication with OFDM through Multipath Realistic Channels. BioCAS 2019: 1-4 - [c117]Florian De Roose, Hikmet Celiker, Jan Genoe, Wim Dehaene, Kris Myny:
Dual-gate self-aligned a-InGaZnO transistor model for flexible circuit applications. DATE 2019: 25-29 - [c116]Jonas Doevenspeck, Robin Degraeve, Andrea Fantini, Peter Debacker, Diederik Verkest, Rudy Lauwereins, Wim Dehaene:
Low Voltage Transient RESET Kinetic Modeling of OxRRAM for Neuromorphic Applications. IRPS 2019: 1-6 - [c115]Florian De Roose, Jan Genoe, Auke Jisk Kronemeijer, Kris Myny, Wim Dehaene:
Memory Solutions for Flexible Thin-Film Logic: up to 8kb, >105.9kb/s LPROM and SRAM with Integrated Timing Generation Meeting the ISO NFC Standard. ISSCC 2019: 206-208 - [c114]Maarten Baert, Wim Dehaene:
A 5GS/s 7.2 ENOB Time-Interleaved VCO-Based ADC Achieving 30.5fJ/conv-step. ISSCC 2019: 328-330 - 2018
- [j55]Heidi Knipprath, Lieve Thibaut, Marie-Paule Buyse, Stijn Ceuppens, Haydee De Loof, Jolien De Meester, Leen Goovaerts, Annemie Struyf, Jelle Boeve-De Pauw, Fien Depaepe, Johan Deprez, Mieke De Cock, Luc Hellinckx, Greet Langie, Katrien Struyven, Didier Van De Velde, Peter Van Petegem, Wim Dehaene:
STEM education in Flanders: Literacy and a positive attitude towards STEM. IEEE Instrum. Meas. Mag. 21(3): 36-40 (2018) - [j54]Hans Reyserhove, Wim Dehaene:
Margin Elimination Through Timing Error Detection in a Near-Threshold Enabled 32-bit Microcontroller in 40-nm CMOS. IEEE J. Solid State Circuits 53(7): 2101-2113 (2018) - [j53]Nikolas P. Papadopoulos, Florian De Roose, Jan-Laurens P. J. van der Steen, Edsger C. P. Smits, Marc Ameys, Wim Dehaene, Jan Genoe, Kris Myny:
Toward Temperature Tracking With Unipolar Metal-Oxide Thin-Film SAR C-2C ADC on Plastic. IEEE J. Solid State Circuits 53(8): 2263-2272 (2018) - [j52]Innocent Agbo, Mottaqiallah Taouil, Daniel Kraak, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor, Wim Dehaene:
Impact and mitigation of SRAM read path aging. Microelectron. Reliab. 87: 158-167 (2018) - [c113]Nikolaos Papadopoulos, Soeren Steudel, Florian De Roose, Doaa M. Eigabry, Auke Jisk Kronemeijer, Jan Genoe, Wim Dehaene, Kris Myny:
In-Panel 31.17dB 140kHz 87µW Unipolar Dual-Gate In-Ga-Zn-O Charge-Sense Amplifier for 500dpi Sensor Array on Flexible Displays. ESSCIRC 2018: 194-197 - [c112]Roel Uytterhoeven, Wim Dehaene:
A sub 10 pJ/Cycle Over a 2 to 200 MHz Performance Range RISC- V Microprocessor in 28 nm FDSOI. ESSCIRC 2018: 236-239 - [c111]Jonas Doevenspeck, Robin Degraeve, Stefan Cosemans, Philippe Roussel, Bram-Ernst Verhoef, Rudy Lauwereins, Wim Dehaene:
Analytic variability study of inference accuracy in RRAM arrays with a binary tree winner-take-all circuit for neuromorphic applications. ESSDERC 2018: 62-65 - [c110]Thomas Vandenabeele, Roel Uytterhoeven, Wim Dehaene, Nele Mentens:
A Systematic Performance Comparison of Ultra Low-Power AES S-Boxes. PATMOS 2018: 248-253 - 2017
- [j51]Yuri Murillo, Bertold Van den Bergh, Jona Beysens, Alexander Bertrand, Wim Dehaene, Panagiotis Patrinos, Tinne Tuytelaars, Ruth Vazquez Sabariego, Marian Verhelst, Patrick Wambacq, Sofie Pollin:
Multidisciplinary Learning through Implementation of the DVB-S2 Standard. IEEE Commun. Mag. 55(5): 124-130 (2017) - [j50]Eugenio Cantatore, Wim Dehaene, Robert Bogdan Staszewski:
Introduction to the Special Issue on the 46th European Solid-State Circuits Conference (ESSCIRC). IEEE J. Solid State Circuits 52(7): 1700-1702 (2017) - [j49]Hans Reyserhove, Wim Dehaene:
A Differential Transmission Gate Design Flow for Minimum Energy Sub-10-pJ/Cycle ARM Cortex-M0 MCUs. IEEE J. Solid State Circuits 52(7): 1904-1914 (2017) - [j48]Florian De Roose, Kris Myny, Marc Ameys, Jan-Laurens P. J. van der Steen, Joris Maas, Joris de Riet, Jan Genoe, Wim Dehaene:
A Thin-Film, a-IGZO, 128b SRAM and LPROM Matrix With Integrated Periphery on Flexible Foil. IEEE J. Solid State Circuits 52(11): 3095-3103 (2017) - [j47]Raf Appeltans, Praveen Raghavan, Gouri Sankar Kar, Arnaud Furnémont, Liesbet Van der Perre, Wim Dehaene:
A Smaller, Faster, and More Energy-Efficient Complementary STT-MRAM Cell Uses Three Transistors and a Ground Grid: More Is Actually Less. IEEE Trans. Very Large Scale Integr. Syst. 25(4): 1204-1214 (2017) - [c109]Nikolaos Papadopoulos, Florian De Roose, Yi-Cheng Lai, Jan-Laurens P. J. van der Steen, Marc Ameys, Wim Dehaene, Jan Genoe, Kris Myny:
Flexible selfbiased 66.7nJ/c.s. 6bit 26S/s successive-approximation C-2C ADC with offset cancellation using unipolar Metal-Oxide TFTs. CICC 2017: 1-4 - [c108]Bert Moons, Roel Uytterhoeven, Wim Dehaene, Marian Verhelst:
DVAFS: Trading computational accuracy for energy through dynamic-voltage-accuracy-frequency-scaling. DATE 2017: 488-493 - [c107]Daniel Kraak, Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor, Wim Dehaene:
Mitigation of sense amplifier degradation using input switching. DATE 2017: 858-863 - [c106]Hans Reyserhove, Wim Dehaene:
Design margin elimination in a near-threshold timing error masking-aware 32-bit ARM Cortex M0 in 40nm CMOS. ESSCIRC 2017: 155-158 - [c105]Tarun Agarwal, Bart Soree, Iuliana P. Radu, Praveen Raghavan, Gianluca Fiori, Marc M. Heyns, Wim Dehaene:
Material selection and device design guidelines for two-dimensional materials based TFETs. ESSDERC 2017: 54-57 - [c104]Mohit Kumar Gupta, Pieter Weckx, Stefan Cosemans, Pieter Schuddinck, Rogier Baert, Dmitry Yakimets, Doyoung Jang, Yasser Sherazi, Praveen Raghavan, Alessio Spessot, Anda Mocuta, Wim Dehaene:
Device circuit and technology co-optimisation for FinFET based 6T SRAM cells beyond N7. ESSDERC 2017: 256-259 - [c103]Yanxiang Huang, Claude Desset, André Bourdoux, Wim Dehaene, Liesbet Van der Perre:
Massive MIMO processing at the semiconductor edge: Exploiting the system and circuit margins for power savings. ICASSP 2017: 3474-3478 - [c102]Mohit Kumar Gupta, Pieter Weckx, Stefan Cosemans, Pieter Schuddinck, Rogier Baert, Doyoung Jang, Yasser Sherazi, Praveen Raghavan, Alessio Spessot, Anda Mocuta, Wim Dehaene:
Dedicated technology threshold voltage tuning for 6T SRAM beyond N7. ICICDT 2017: 1-4 - [c101]Bert Moons, Roel Uytterhoeven, Wim Dehaene, Marian Verhelst:
14.5 Envision: A 0.26-to-10TOPS/W subword-parallel dynamic-voltage-accuracy-frequency-scalable Convolutional Neural Network processor in 28nm FDSOI. ISSCC 2017: 246-247 - [c100]Kris Myny, Yi-Cheng Lai, Nikolaos Papadopoulos, Florian De Roose, Marc Ameys, Myriam Willegems, Steve Smout, Soeren Steudel, Wim Dehaene, Jan Genoe:
15.2 A flexible ISO14443-A compliant 7.5mW 128b metal-oxide NFC barcode tag with direct clock division circuit from 13.56MHz carrier. ISSCC 2017: 258-259 - [c99]Thomas Bos, Komail M. H. Badami, Wim Dehaene, Marian Verhelst:
An 8-11b 320kS/s resolution scalable noise shaping SAR ADC. NEWCAS 2017: 209-212 - 2016
- [c98]Yanxiang Huang, Chunshu Li, Khaled Khalaf, André Bourdoux, Julien Verschueren, Qixian Shi, Piet Wambacq, Sofie Pollin, Wim Dehaene, Liesbet Van der Perre:
A 28 nm CMOS 7.04 Gsps polar digital front-end processor for 60 GHz transmitter. A-SSCC 2016: 333-336 - [c97]Bohan Yang, Vladimir Rozic, Nele Mentens, Wim Dehaene, Ingrid Verbauwhede:
TOTAL: TRNG on-the-fly testing for attack detection using Lightweight hardware. DATE 2016: 127-132 - [c96]Tarun Agarwal, Iuliana P. Radu, Praveen Raghavan, Gianluca Fiori, Aaron Thean, Marc M. Heyns, Wim Dehaene:
Effect of material parameters on two-dimensional materials based TFETs: An energy-delay perspective. ESSCIRC 2016: 55-58 - [c95]Hans Reyserhove, Wim Dehaene:
A 16.07pJ/cycle 31MHz fully differential transmission gate logic ARM Cortex M0 core in 40nm CMOS. ESSCIRC 2016: 257-260 - [c94]Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor, Wim Dehaene:
Read path degradation analysis in SRAM. ETS 2016: 1-2 - [c93]Vladimir Rozic, Bohan Yang, Wim Dehaene, Ingrid Verbauwhede:
Iterating Von Neumann's post-processing under hardware constraints. HOST 2016: 37-42 - [c92]Florian De Roose, Kris Myny, Soeren Steudel, Myriam Willigems, Steve Smout, Tim Piessens, Jan Genoe, Wim Dehaene:
16.5 A flexible thin-film pixel array with a charge-to-current gain of 59µA/pC and 0.33% nonlinearity and a cost effective readout circuit for large-area X-ray imaging. ISSCC 2016: 296-297 - [c91]Wim Dehaene:
SC1: Circuits for the internet of everything. ISSCC 2016: 522-523 - [c90]Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Praveen Raghavan, Francky Catthoor, Wim Dehaene:
Quantification of Sense Amplifier Offset Voltage Degradation due to Zero-and Run-Time Variability. ISVLSI 2016: 725-730 - 2015
- [j46]Paramartha Indirayanti, Tuba Ayhan, Marian Verhelst, Wim Dehaene, Patrick Reynaert:
A mm-Precise 60 GHz Transmitter in 40 nm CMOS for Discrete-Carrier Indoor Localization. IEEE J. Solid State Circuits 50(7): 1604-1617 (2015) - [j45]Valentijn De Smedt, Georges G. E. Gielen, Wim Dehaene:
Development of an Ultralow-Power Injection-Locked PSK Receiver Architecture. IEEE Trans. Circuits Syst. II Express Briefs 62-II(1): 31-35 (2015) - [c89]Vladimir Rozic, Bohan Yang, Wim Dehaene, Ingrid Verbauwhede:
Highly efficient entropy extraction for true random number generators on FPGAs. DAC 2015: 116:1-116:6 - [c88]Bohan Yang, Vladimir Rozic, Nele Mentens, Wim Dehaene, Ingrid Verbauwhede:
Embedded HW/SW platform for on-the-fly testing of true random number generators. DATE 2015: 345-350 - [c87]Ioannis Karageorgos, Michele Stucchi, Praveen Raghavan, Julien Ryckaert, Zsolt Tokei, Diederik Verkest, Rogier Baert, Sushil Sakhare, Wim Dehaene:
Impact of interconnect multiple-patterning variability on SRAMs. DATE 2015: 609-612 - [c86]Muhammet Burak Baran, Wim Dehaene, Hugo Pues, Kristof Stijnen:
Case study on the differences between EMI resilience of analog ICs against continuous wave, modulated and transient disturbances. EMC Compo 2015: 207-211 - [c85]Shailesh Kulkarni, Ibrahim Kazi, David Seebacher, Peter Singerl, Franz Dielacher, Wim Dehaene, Patrick Reynaert:
Multi-standard wideband OFDM RF-PWM transmitter in 40nm CMOS. ESSCIRC 2015: 88-91 - [c84]Wim Dehaene:
SC1: Circuit design in advanced CMOS technologies: How to design with lower supply voltages. ISSCC 2015: 1-2 - [c83]Raf Appeltans, Stefan Cosemans, Praveen Raghavan, Diederik Verkest, Liesbet Van der Perre, Wim Dehaene:
STT-MRAM cell design with partial source line planes: improving the trade-off between area and series resistance. NVMSA 2015: 1-6 - 2014
- [j44]Tom Redant, Wim Dehaene:
An MLS-Prony implementation for a cm-Precise Super 10 m range 802.15.3c-PHY 60 GHz positioning application. J. Ambient Intell. Humaniz. Comput. 5(5): 623-634 (2014) - [j43]Pieter A. J. Nuyts, Patrick Reynaert, Wim Dehaene:
Frequency-Domain Analysis of Digital PWM-Based RF Modulators for Flexible Wireless Transmitters. IEEE Trans. Circuits Syst. I Regul. Pap. 61-I(1): 238-246 (2014) - [j42]Valentijn De Smedt, Georges G. E. Gielen, Wim Dehaene:
Transient Behavior and Phase Noise Performance of Pulsed-Harmonic Oscillators. IEEE Trans. Circuits Syst. I Regul. Pap. 61-I(7): 2119-2128 (2014) - [j41]Cedric Walravens, Wim Dehaene:
Low-Power Digital Signal Processor Architecture for Wireless Sensor Nodes. IEEE Trans. Very Large Scale Integr. Syst. 22(2): 313-321 (2014) - [c82]Hans Reyserhove, Nele Reynders, Wim Dehaene:
Ultra-low voltage datapath blocks in 28nm UTBB FD-SOI. A-SSCC 2014: 49-52 - [c81]Paramartha Indirayanti, Tuba Ayhan, Marian Verhelst, Wim Dehaene, Patrick Reynaert:
A 60GHz transmitter in 40nm CMOS achieving mm-precision for discrete-carrier localization. ESSCIRC 2014: 291-294 - [c80]Tuba Ayhan, Wim Dehaene, Marian Verhelst:
A 128∶2048/1536 point FFT hardware implementation with output pruning. EUSIPCO 2014: 266-270 - [c79]Matthias Hartmann, Halil Kükner, Prashant Agrawal, Praveen Raghavan, Liesbet Van der Perre, Wim Dehaene:
Modelling and mitigation of time-zero variability in sub-16nm finfet-based STT-MRAM memories. ACM Great Lakes Symposium on VLSI 2014: 243-244 - [c78]Florian De Roose, Valentijn De Smedt, Wouter Volkaerts, Michiel Steyaert, Georges G. E. Gielen, Patrick Reynaert, Wim Dehaene:
Design of a frequency reference based on a PVT-independent transmission line delay. ISCAS 2014: 1772-1775 - [c77]Tom Redant, Tuba Ayhan, Nico De Clercq, Marian Verhelst, Patrick Reynaert, Wim Dehaene:
20.1 A 40nm CMOS receiver for 60GHz discrete-carrier indoor localization achieving mm-precision at 4m range. ISSCC 2014: 342-343 - [c76]Nele Reynders, Wim Dehaene:
27.3 A 210mV 5MHz variation-resilient near-threshold JPEG encoder in 40nm CMOS. ISSCC 2014: 456-457 - [c75]Kris Myny, Steve Smout, Maarten Rockele, Ajay Bhoolokam, Tung Huei Ke, Soeren Steudel, Koji Obata, B. M. Marín-Santibáñez, Duy-Vu Pham, Arne Hoppe, Aashini Gulati, F. Rodríguez González, Brian Cobb, Gerwin H. Gelinck, Jan Genoe, Wim Dehaene, Paul Heremans:
30.1 8b Thin-film microprocessor using a hybrid oxide-organic complementary technology with inkjet-printed P2ROM memory. ISSCC 2014: 486-487 - [c74]Tuba Ayhan, Marian Verhelst, Wim Dehaene:
Impact of multipath fading on a precise 60 GHz indoor locationing system. SIU 2014: 1841-1844 - 2013
- [j40]Maurits Ortmanns, Timothy C. Fischer, Uming Ko, Wim Dehaene, Yasuhiro Takai:
Introduction to the Special Issue on the 2012 IEEE International Solid-State Circuits Conference. IEEE J. Solid State Circuits 48(1): 3-7 (2013) - [j39]Jelle Van Rethy, Hans Danneels, Valentijn De Smedt, Wim Dehaene, Georges G. E. Gielen:
Supply-Noise-Resilient Design of a BBPLL-Based Force-Balanced Wheatstone Bridge Interface in 130-nm CMOS. IEEE J. Solid State Circuits 48(11): 2618-2627 (2013) - [j38]Tom Redant, Wim Dehaene:
Joint Estimation of Propagation Delay Dispersion and Time of Arrival in a 40-nm CMOS Comparator Bank for Time-Based Receivers. IEEE Trans. Circuits Syst. II Express Briefs 60-II(2): 76-80 (2013) - [j37]Tom Redant, Pieter A. J. Nuyts, Patrick Reynaert, Wim Dehaene:
Presilicon Circuit-Aware Linear Least Squares Spectral Analysis for Time-Based Data Converters. IEEE Trans. Circuits Syst. II Express Briefs 60-II(11): 751-755 (2013) - [j36]Leif Uhsadel, Markus Ullrich, Amitabh Das, Dusko Karaklajic, Josep Balasch, Ingrid Verbauwhede, Wim Dehaene:
Teaching HW/SW Co-Design With a Public Key Cryptography Application. IEEE Trans. Educ. 56(4): 478-483 (2013) - [c73]Jelle Van Rethy, Hans Danneels, Valentijn De Smedt, Wim Dehaene, Georges G. E. Gielen:
A low-power and low-voltage BBPLL-based sensor interface in 130nm CMOS for wireless sensor networks. DATE 2013: 1431-1435 - [c72]Matthias Hartmann, Praveen Raghavan, Liesbet Van der Perre, Prashant Agrawal, Wim Dehaene:
Memristor-Based (ReRAM) Data Memory Architecture in ASIP Design. DSD 2013: 795-798 - [c71]Bram Rooseleer, Wim Dehaene:
A 40 nm, 454MHz 114 fJ/bit area-efficient SRAM memory with integrated charge pump. ESSCIRC 2013: 201-204 - [c70]Valentijn De Smedt, Georges G. E. Gielen, Wim Dehaene:
A 40nm-CMOS, 72 µW injection-locked timing reference and 1.8 Mbit/s coordination receiver for wireless sensor networks. ESSCIRC 2013: 307-310 - [c69]Jan Verveckken, Frederik Geth, Borbála Hunyadi, Jef Beerten, Niels Leemput, Juan Van Roy, Pieter Tielens, Valentijn De Smedt, Sandro Iacovella, Ninah Koolen, Hans De Clercq, Johan Driesen, Georges G. E. Gielen, Robert Puers, Joos Vandewalle, Sabine Van Huffel, Ronnie Belmans, Geert Deconinck, Wim Dehaene:
Developing engineering-oriented educational workshops within a student branch. EUROCON 2013: 933-940 - [c68]Wim Dehaene, Masaya Sumita:
Session 24 overview: Energy-aware digital design. ISSCC 2013: 418-419 - [c67]Tuba Ayhan, Wim Dehaene, Marian Verhelst:
A method for using sub-Nyquist sampling for ultra low-power positioning systems. SiPS 2013: 100-105 - 2012
- [j35]Kris Myny, Erik van Veenendaal, Gerwin H. Gelinck, Jan Genoe, Wim Dehaene, Paul Heremans:
An 8-Bit, 40-Instructions-Per-Second Organic Microprocessor on Plastic Foil. IEEE J. Solid State Circuits 47(1): 284-291 (2012) - [j34]Pieter A. J. Nuyts, Peter Singerl, Franz Dielacher, Patrick Reynaert, Wim Dehaene:
A Fully Digital Delay Line Based GHz Range Multimode Transmitter Front-End in 65-nm CMOS. IEEE J. Solid State Circuits 47(7): 1681-1692 (2012) - [j33]Bram Rooseleer, Stefan Cosemans, Wim Dehaene:
A 65 nm, 850 MHz, 256 kbit, 4.3 pJ/access, Ultra Low Leakage Power Memory Using Dynamic Cell Stability and a Dual Swing Data Link. IEEE J. Solid State Circuits 47(7): 1784-1796 (2012) - [j32]Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, Wim Dehaene:
Ultra Low-Energy SRAM Design for Smart Ubiquitous Sensors. IEEE Micro 32(5): 10-24 (2012) - [j31]Pavel Poliakov, Pieter Blomme, Alessandro Vaglio Pret, Miguel Corbalan Miranda, Roel Gronheid, Diederik Verkest, Jan Van Houdt, Wim Dehaene:
Trades-off between lithography line edge roughness and error-correcting codes requirements for NAND Flash memories. Microelectron. Reliab. 52(3): 525-529 (2012) - [j30]Junfeng Zhou, Wim Dehaene:
Fully Integrated CMOS EME-Suppressing Current Regulator for Automotive Electronics. IEEE Trans. Circuits Syst. I Regul. Pap. 59-I(2): 266-275 (2012) - [j29]Pieter A. J. Nuyts, Brecht François, Wim Dehaene, Patrick Reynaert:
A CMOS Burst-Mode Transmitter With Watt-Level RF PA and Flexible Fully Digital Front-End. IEEE Trans. Circuits Syst. II Express Briefs 59-II(10): 613-617 (2012) - [j28]Nele Reynders, Wim Dehaene:
Variation-Resilient Building Blocks for Ultra-Low-Energy Sub-Threshold Design. IEEE Trans. Circuits Syst. II Express Briefs 59-II(12): 898-902 (2012) - [c66]Cedric Walravens, Wim Dehaene:
Design of a low-energy data processing architecture for WSN nodes. DATE 2012: 570-573 - [c65]Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, Wim Dehaene:
Ultra low power litho friendly local assist circuitry for variability resilient 8T SRAM. DATE 2012: 1042-1047 - [c64]Nico De Clercq, Tom Van Breussegem, Wim Dehaene, Michiel Steyaert:
Dual-output capacitive DC-DC converter with power distribution regulator in 90 nm CMOS. ESSCIRC 2012: 169-172 - [c63]Valentijn De Smedt, Georges G. E. Gielen, Wim Dehaene:
A 127 μW exact timing reference for Wireless Sensor Networks based on injection locking. ESSCIRC 2012: 262-264 - [c62]Nele Reynders, Wim Dehaene:
Variation-resilient sub-threshold circuit solutions for ultra-low-power Digital Signal Processors with 10MHz clock frequency. ESSCIRC 2012: 474-477 - [c61]Vladimir Rozic, Wim Dehaene, Ingrid Verbauwhede:
Design solutions for securing SRAM cell against power analysis. HOST 2012: 122-127 - [c60]Paramartha Indirayanti, Wouter Volkaerts, Patrick Reynaert, Wim Dehaene:
Picosecond pulse generation with nonlinear transmission lines in 90-nm CMOS for mm-wave imaging applications. ICECS 2012: 885-888 - [c59]Kris Myny, Maarten Rockele, Adrian Vaisman Chasin, Duy-Vu Pham, Jürgen Steiger, Silviu Botnaras, Dennis Weber, Bernhard Herold, Jürgen Ficker, Bas van der Putten, Gerwin H. Gelinck, Jan Genoe, Wim Dehaene, Paul Heremans:
Bidirectional communication in an HF hybrid organic/solution-processed metal-oxide RFID tag. ISSCC 2012: 312-314 - [c58]Chris Van Hoof, Wim Dehaene, Wentai Liu, Timothy Denison, Minkyu Je, Hoi-Jun Yoo:
Bioelectronics for sustainable healthcare. ISSCC 2012: 506-507 - [c57]Pieter Weckx, Nele Reynders, Ilse de Moffarts, Wim Dehaene:
Design of a 150 mV Supply, 2 MIPS, 90nm CMOS, Ultra-Low-Power Microprocessor. PATMOS 2012: 175-184 - [c56]Tom Redant, Wim Dehaene:
High Resolution Time-of-arrival for a Cm-precise Super 10 Meter 802.15.3C-based 60GHz OFDM Positioning Application. PECCS 2012: 271-277 - [c55]Tuba Ayhan, Tom Redant, Marian Verhelst, Wim Dehaene:
Towards a Fast and Hardware Efficient Sub-MM Precision Ranging System. SiPS 2012: 203-208 - 2011
- [j27]Geert Van der Plas, Paresh Limaye, Igor Loi, Abdelkarim Mercha, Herman Oprins, Cristina Torregiani, Steven Thijs, Dimitri Linten, Michele Stucchi, Guruprasad Katti, Dimitrios Velenis, Vladimir Cherman, Bart Vandevelde, Veerle Simons, Ingrid De Wolf, Riet Labie, Dan Perry, Stephane Bronckers, Nikolaos Minas, Miro Cupac, Wouter Ruythooren, Jan Van Olmen, Alain Phommahaxay, Muriel de Potter de ten Broeck, Ann Opdebeeck, Michal Rakowski, Bart De Wachter, Morin Dehan, Marc Nelis, Rahul Agarwal, Antonio Pullini, Federico Angiolini, Luca Benini, Wim Dehaene, Youssef Travaly, Eric Beyne, Paul Marchal:
Design Issues and Considerations for Low-Cost 3-D TSV IC Technology. IEEE J. Solid State Circuits 46(1): 293-307 (2011) - [j26]Kris Myny, Monique J. Beenhakkers, Nick A. J. M. van Aerle, Gerwin H. Gelinck, Jan Genoe, Wim Dehaene, Paul Heremans:
Unipolar Organic Transistor Circuits Made Robust by Dual-Gate Technology. IEEE J. Solid State Circuits 46(5): 1223-1230 (2011) - [j25]Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, Wim Dehaene:
A 4.4 pJ/Access 80 MHz, 128 kbit Variability Resilient SRAM With Multi-Sized Sense Amplifier Redundancy. IEEE J. Solid State Circuits 46(10): 2416-2430 (2011) - [j24]Pavel Poliakov, Pieter Blomme, Miguel Corbalan, Jan Van Houdt, Wim Dehaene:
Cross-cell interference variability aware model of fully planar NAND Flash memory including line edge roughness. Microelectron. Reliab. 51(5): 919-924 (2011) - [j23]Tom Redant, Jorg Daniels, Michiel Steyaert, Wim Dehaene:
Multiple Event Time-to-Digital Conversion-Based Pulse Digitization for a 250 MHz Pulse Radio Ranging Application. IEEE Trans. Circuits Syst. I Regul. Pap. 58-I(11): 2614-2622 (2011) - [c54]Valentijn De Smedt, Georges G. E. Gielen, Wim Dehaene:
A 0.6V to 1.6V, 46μW voltage and temperature independent 48 MHz pulsed LC oscillator for RFID tags. A-SSCC 2011: 109-112 - [c53]Nele Reynders, Wim Dehaene:
A 190mV supply, 10MHz, 90nm CMOS, pipelined sub-threshold adder using variation-resilient circuit techniques. A-SSCC 2011: 113-116 - [c52]Tom Redant, Frederic Stubbe, Wim Dehaene:
A low power time-of-arrival ranging front end based on a 8-channel 2.2mW, 53ps single-shot-precision Time-to-Digital converter. A-SSCC 2011: 321-324 - [c51]Paul Heremans, Wim Dehaene, Michiel Steyaert, Kris Myny, Hagen Marien, Jan Genoe, Gerwin H. Gelinck, Erik van Veenendaal:
Circuit design in organic semiconductor technologies. ESSCIRC 2011: 5-12 - [c50]Pieter A. J. Nuyts, Peter Singerl, Franz Dielacher, Patrick Reynaert, Wim Dehaene:
A fully digital delay-line based GHz-range multimode transmitter front-end in 65-nm CMOS. ESSCIRC 2011: 395-398 - [c49]Bram Rooseleer, Stefan Cosemans, Wim Dehaene:
A 65 nm, 850 MHz, 256 kbit, 4.3 pJ/access, ultra low leakage power memory using dynamic cell stability and a dual swing data link. ESSCIRC 2011: 519-522 - [c48]Anselme Vignon, Stefan Cosemans, Wim Dehaene:
A low leakage 500MHz 2T embedded dynamic memory with integrated semi-transparent refresh. ESSCIRC 2011: 523-526 - [c47]Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, Wim Dehaene:
8T SRAM with Mimicked Negative Bit-lines and Charge Limited Sequential sense amplifier for wireless sensor nodes. ESSCIRC 2011: 531-534 - [c46]Wim Dehaene, Georges G. E. Gielen, Geert Deconinck, Johan Driesen, Marc Moonen, Bart Nauwelaers, Chris Van Hoof, Patrick Wambacq:
Circuits and systems engineering education through interdisciplinary team-based design projects. ISCAS 2011: 1195-1198 - [c45]Kris Myny, Erik van Veenendaal, Gerwin H. Gelinck, Jan Genoe, Wim Dehaene, Paul Heremans:
An 8b organic microprocessor on plastic foil. ISSCC 2011: 322-324 - 2010
- [j22]Nick Van Helleputte, Marian Verhelst, Wim Dehaene, Georges G. E. Gielen:
A Reconfigurable, 130 nm CMOS 108 pJ/pulse, Fully Integrated IR-UWB Receiver for Communication and Precise Ranging. IEEE J. Solid State Circuits 45(1): 69-83 (2010) - [j21]Pavel Poliakov, Ankur Anchlia, Marie Garcia Bardon, Bram Rooseleer, Bart De Wachter, Nadine Collaert, Koen van der Zanden, Wim Dehaene, Diederik Verkest, Miguel Corbalan Miranda:
Circuit Design for Bias Compatibility in Novel FinFET-Based Floating-Body RAM. IEEE Trans. Circuits Syst. II Express Briefs 57-II(3): 183-187 (2010) - [j20]Jorg Daniels, Wim Dehaene, Michiel Steyaert, Andreas Wiesbauer:
A/D Conversion Using Asynchronous Delta-Sigma Modulation and Time-to-Digital Conversion. IEEE Trans. Circuits Syst. I Regul. Pap. 57-I(9): 2404-2412 (2010) - [c44]Geert Van der Plas, Steven Thijs, Dimitri Linten, Guruprasad Katti, Paresh Limaye, Abdelkarim Mercha, Michele Stucchi, Herman Oprins, Bart Vandevelde, Nikolaos Minas, Miro Cupac, Morin Dehan, Marc Nelis, Rahul Agarwal, Wim Dehaene, Youssef Travaly, Eric Beyne, Paul Marchal:
Verifying electrical/thermal/thermo-mechanical behavior of a 3D stack - Challenges and solutions. CICC 2010: 1-4 - [c43]Marco Facchini, Paul Marchal, Francky Catthoor, Wim Dehaene:
An RDL-configurable 3D memory tier to replace on-chip SRAM. DATE 2010: 291-294 - [c42]Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, Wim Dehaene:
A 4.4pJ/access 80MHz, 2K word } 64b memory with write masking feature and variability resilient multi-sized sense amplifier redundancy for wireless sensor nodes applications. ESSCIRC 2010: 358-361 - [c41]Jorg Daniels, Wim Dehaene, Michiel Steyaert:
All-digital differential VCO-based A/D conversion. ISCAS 2010: 1085-1088 - [c40]Wouter Volkaerts, Bart Marien, Hans Danneels, Valentijn De Smedt, Patrick Reynaert, Wim Dehaene, Georges G. E. Gielen:
A 0.5 V-1.4 V supply-independent frequency-based analog-to-digital converter with fast start-up time for wireless sensor networks. ISCAS 2010: 3096-3099 - [c39]Kris Myny, Monique J. Beenhakkers, Nick A. J. M. van Aerle, Gerwin H. Gelinck, Jan Genoe, Wim Dehaene, Paul Heremans:
Robust digital design in organic electronics by dual-gate technology. ISSCC 2010: 140-141 - [c38]Geert Van der Plas, Paresh Limaye, Abdelkarim Mercha, Herman Oprins, Cristina Torregiani, Steven Thijs, Dimitri Linten, Michele Stucchi, Guruprasad Katti, Dimitrios Velenis, Domae Shinichi, Vladimir Cherman, Bart Vandevelde, Veerle Simons, Ingrid De Wolf, Riet Labie, Dan Perry, Stephane Bronckers, Nikolaos Minas, Miro Cupac, Wouter Ruythooren, Jan Van Olmen, Alain Phommahaxay, Muriel de Potter de ten Broeck, Ann Opdebeeck, Michal Rakowski, Bart De Wachter, Morin Dehan, Marc Nelis, Rahul Agarwal, Wim Dehaene, Youssef Travaly, Pol Marchal, Eric Beyne:
Design issues and considerations for low-cost 3D TSV IC technology. ISSCC 2010: 148-149
2000 – 2009
- 2009
- [j19]Stefan Cosemans, Wim Dehaene, Francky Catthoor:
A 3.6 pJ/Access 480 MHz, 128 kb On-Chip SRAM With 850 MHz Boost Mode in 90 nm CMOS With Tunable Sense Amplifiers. IEEE J. Solid State Circuits 44(7): 2065-2077 (2009) - [j18]Paul Marchal, Bruno Bougard, Guruprasad Katti, Michele Stucchi, Wim Dehaene, Antonis Papanikolaou, Diederik Verkest, Bart Swinnen, Eric Beyne:
3-D Technology Assessment: Path-Finding the Technology/Design Sweet-Spot. Proc. IEEE 97(1): 96-107 (2009) - [j17]Hua Wang, Miguel Miranda, Wim Dehaene, Francky Catthoor:
Design and Synthesis of Pareto Buffers Offering Large Range Runtime Energy/Delay Tradeoffs Via Combined Buffer Size and Supply Voltage Tuning. IEEE Trans. Very Large Scale Integr. Syst. 17(1): 117-127 (2009) - [j16]Zheng Li, Wim Dehaene, Georges G. E. Gielen:
A 3-tier UWB-based indoor localization system for ultra-low-power sensor networks. IEEE Trans. Wirel. Commun. 8(6): 2813-2818 (2009) - [j15]Yves Vanderperren, Wim Dehaene, Geert Leus:
Performance Analysis of a Flexible Subsampling Receiver for Pulsed UWB Signals. IEEE Trans. Wirel. Commun. 8(8): 4134-4142 (2009) - [c37]Jan Van Olmen, Jan Coenen, Wim Dehaene, Kristin De Meyer, Cedric Huyghebaert, Anne Jourdain, Guruprasad Katti, Abdelkarim Mercha, Michal Rakowski, Michele Stucchi, Youssef Travaly, Eric Beyne, Bart Swinnen:
3D Stacked IC demonstrator using Hybrid Collective Die-to-Wafer bonding with copper Through Silicon Vias (TSV). 3DIC 2009: 1-5 - [c36]Cedric Walravens, Yves Vanderperren, Wim Dehaene:
ActivaSC: a highly efficient and non-intrusive extension for activity-based analysis of SystemC models. DAC 2009: 172-177 - [c35]Marco Facchini, Trevor E. Carlson, Anselme Vignon, Martin Palkovic, Francky Catthoor, Wim Dehaene, Luca Benini, Paul Marchal:
System-level power/performance evaluation of 3D stacked DRAMs for mobile applications. DATE 2009: 923-928 - [c34]Anselme Vignon, Stefan Cosemans, Wim Dehaene, Pol Marchal, Marco Facchini:
A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context. DATE 2009: 929-933 - [c33]Wim Dehaene, Georges G. E. Gielen, Michiel Steyaert, Hans Danneels, V. Desmedt, Christophe De Roover, Z. Li, Marian Verhelst, Nick Van Helleputte, S. Radioma, C. Walravensa, L. Pleysier:
RFID, where are they? ESSCIRC 2009: 36-43 - [c32]Valentijn De Smedt, Wim Dehaene, Georges G. E. Gielen:
A 0.4-1.4V 24MHz fully integrated 33µW, 104ppm/V supply-independent oscillator for RFIDs. ESSCIRC 2009: 396-399 - [c31]Kris Myny, Monique J. Beenhakkers, Nick A. J. M. van Aerle, Gerwin H. Gelinck, Jan Genoe, Wim Dehaene, Paul Heremans:
A 128b organic RFID transponder chip, including Manchester encoding and ALOHA anti-collision protocol, operating with a data rate of 1529b/s. ISSCC 2009: 206-207 - [c30]Marian Verhelst, Nick Van Helleputte, Georges G. E. Gielen, Wim Dehaene:
A reconfigurable, 0.13µm CMOS 110pJ/pulse, fully integrated IR-UWB receiver for communication and sub-cm ranging. ISSCC 2009: 250-251 - 2008
- [j14]Yves Vanderperren, Wolfgang Mueller, Wim Dehaene:
UML for electronic systems design: a comprehensive overview. Des. Autom. Embed. Syst. 12(4): 261-292 (2008) - [j13]Marian Verhelst, Wim Dehaene:
A Flexible, Ultra-Low-Energy 35 pJ/Pulse Digital Back-End for a QAC IR-UWB Receiver. IEEE J. Solid State Circuits 43(7): 1677-1687 (2008) - [j12]Marian Verhelst, Wim Dehaene:
Analysis of the QAC IR-UWB Receiver for Low Energy, Low Data-Rate Communication. IEEE Trans. Circuits Syst. I Regul. Pap. 55-I(8): 2423-2432 (2008) - [j11]Hua Wang, Francky Catthoor, Miguel Miranda, Wim Dehaene:
Synthesis of Runtime Switchable Pareto Buffers Offering Full Range Fine Grained Energy/Delay Trade-Offs. J. Signal Process. Syst. 52(2): 193-210 (2008) - [c29]Yves Vanderperren, Wim Dehaene:
A subsampling pulsed UWB demodulator based on a flexible complex SVD. ASAP 2008: 114-119 - [c28]Stefan Cosemans, Wim Dehaene, Francky Catthoor:
A 3.6pJ/access 480MHz, 128Kbit on-Chip SRAM with 850MHz boost mode in 90nm CMOS with tunable sense amplifiers to cope with variability. ESSCIRC 2008: 278-281 - [c27]Peter Geens, Wim Dehaene:
A dual port dual width 90nm SRAM with guaranteed data retention at minimal standby supply voltage. ESSCIRC 2008: 290-293 - [c26]Marian Verhelst, Julien Ryckaert, Yves Vanderperren, Wim Dehaene:
A Low Power, Reconfigurable IR-UWB System. ICC 2008: 3770-3774 - [c25]Jorg Daniels, Wim Dehaene, Michiel Steyaert, Andreas Wiesbauer:
A/D conversion using an Asynchronous Delta-Sigma Modulator and a time-to-digital converter. ISCAS 2008: 1648-1651 - [c24]Hans Danneels, Marian Verhelst, Pieter Palmers, Wim Vereecken, Bruno Boury, Wim Dehaene, Michiel Steyaert, Georges G. E. Gielen:
A low-power mixing DAC IR-UWB-receiver. ISCAS 2008: 2697-2700 - 2007
- [j10]Bruno Bougard, Gregory Lenoir, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor, Wim Dehaene:
SmartMIMO: An Energy-Aware Adaptive MIMO-OFDM Radio Link Control for Next-Generation Wireless Local Area Networks. EURASIP J. Wirel. Commun. Netw. 2007 (2007) - [j9]Stefan Cosemans, Wim Dehaene, Francky Catthoor:
A Low-Power Embedded SRAM for Wireless Applications. IEEE J. Solid State Circuits 42(7): 1607-1617 (2007) - [j8]Julien Ryckaert, Marian Verhelst, Mustafa Badaroglu, Stefano D'Amico, Vincent De Heyn, Claude Desset, Pierluigi Nuzzo, Bart van Poucke, Piet Wambacq, Andrea Baschirotto, Wim Dehaene, Geert Van der Plas:
A CMOS Ultra-Wideband Receiver for Low Data-Rate Communication. IEEE J. Solid State Circuits 42(11): 2515-2527 (2007) - [c23]Marian Verhelst, Wim Dehaene:
A flexible, ultra-low power 35pJ/pulse digital back-end for a QAC UWB receiver. ESSCIRC 2007: 236-239 - [c22]Junfeng Zhou, Wim Dehaene:
A fully integrated low EMI noise power supply technique for CMOS digital IC's in automotive applications. ESSCIRC 2007: 264-267 - [c21]Wim Dehaene, Stefan Cosemans, Anselme Vignon, F. Catthoora, Peter Geens:
Embedded SRAM design in deep deep submicron technologies. ESSCIRC 2007: 384-391 - [c20]Bert Serneels, Michiel Steyaert, Wim Dehaene:
A 237mW aDSL2+ CO Line Driver in Standard 1.2V 0.13μ CMOS. ISSCC 2007: 524-619 - [c19]Liesbet Van der Perre, Bruno Bougard, Jan Craninckx, Wim Dehaene, Lieven Hollevoet, Murali Jayapala, Pol Marchal, Miguel Miranda, Praveen Raghavan, Thomas Schuster, Piet Wambacq, Francky Catthoor, Peter Vanbekbergen:
Architectures and Circuits for Software-Defined Radios: Scaling and Scalability for Low Cost and Low Energy. ISSCC 2007: 568-569 - [i2]Georges G. E. Gielen, Wim Dehaene, Phillip Christie, Dieter Draxelmayr, Edmond Janssens, Karen Maex, Ted Vucurevich:
Analog and Digital Circuit Design in 65 nm CMOS: End of the Road? CoRR abs/0710.4709 (2007) - [i1]Bruno Bougard, Francky Catthoor, Denis C. Daly, Anantha P. Chandrakasan, Wim Dehaene:
Energy Efficiency of the IEEE 802.15.4 Standard in Dense Wireless Microsensor Networks: Modeling and Improvement Perspectives. CoRR abs/0710.4732 (2007) - 2006
- [j7]Evelyn Grossar, Michele Stucchi, Karen Maex, Wim Dehaene:
Read Stability and Write-Ability Analysis of SRAM Cells for Nanometer Technologies. IEEE J. Solid State Circuits 41(11): 2577-2588 (2006) - [j6]Bruno Bougard, Sofie Pollin, Antoine Dejonghe, Francky Catthoor, Wim Dehaene:
Cross-layer power management in wireless networks and consequences on system-level architecture. Signal Process. 86(8): 1792-1803 (2006) - [c18]Yves Vanderperren, Wim Dehaene:
From UML/SysML to Matlab/Simulink: current state and future perspectives. DATE 2006: 93 - [c17]Yves Vanderperren, Wim Dehaene, Geert Leus:
A Flexible Low Power Subsampling UWB Receiver Based on Line Spectrum Estimation Methods. ICC 2006: 4694-4699 - [c16]Wolfgang Mueller, Alberto Rosti, Sara Bocchio, Elvinia Riccobene, Patrizia Scandurra, Wim Dehaene, Yves Vanderperren:
UML for ESL design: basic principles, tools, and applications. ICCAD 2006: 73-80 - [c15]Bert Serneels, Michiel Steyaert, Wim Dehaene:
A High speed, Low Voltage to High Voltage Level Shifter in Standard 1.2V 0.13μm CMOS. ICECS 2006: 668-671 - [c14]Evelyn Grossar, Michele Stucchi, Karen Maex, Wim Dehaene:
Statistically Aware SRAM Memory Array Design. ISQED 2006: 25-30 - [c13]Hua Wang, Miguel Miranda, Francky Catthoor, Wim Dehaene:
On the Combined Impact of Soft and Medium Gate Oxide Breakdown and Process Variability on the Parametric Figures of SRAM components. MTDT 2006: 71-76 - [c12]Bruno Bougard, Gregory Lenoir, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor, Wim Dehaene:
SmartMIMO: Energy-Aware Adaptive MIMO-OFDM Radio Link Control for Wireless Local Area Networks. SiPS 2006: 399-404 - [c11]Antonis Papanikolaou, Hua Wang, Miguel Miranda, Francky Catthoor, Wim Dehaene:
Reliability Issues in Deep Deep Submicron Technologies: Time-Dependent Variability and its Impact on Embedded System Design. VLSI-SoC (Selected Papers) 2006: 119-141 - 2005
- [j5]Bert Serneels, Tim Piessens, Michiel Steyaert, Wim Dehaene:
A high-voltage output driver in a 2.5-V 0.25-μm CMOS technology. IEEE J. Solid State Circuits 40(3): 576-583 (2005) - [j4]Hua Wang, Miguel Miranda, Antonis Papanikolaou, Francky Catthoor, Wim Dehaene:
Variable tapered pareto buffer design and implementation allowing run-time configuration for low-power embedded SRAMs. IEEE Trans. Very Large Scale Integr. Syst. 13(10): 1127-1135 (2005) - [j3]Bruno Bougard, M. Rullmann, Erik Brockmeyer, Liesbet Van der Perre, Francky Catthoor, Wim Dehaene:
Energy Efficient Memory Architecture for High Speed Decoding of Block Turbo-Codes with the Fang-Buda Algorithm. J. VLSI Signal Process. 39(1-2): 79-92 (2005) - [c10]Georges G. E. Gielen, Wim Dehaene, Phillip Christie, Dieter Draxelmayr, Edmond Janssens, Karen Maex, Ted Vucurevich:
Analog and Digital Circuit Design in 65 nm CMOS: End of the Road? DATE 2005: 36-42 - [c9]Bruno Bougard, Francky Catthoor, Denis C. Daly, Anantha P. Chandrakasan, Wim Dehaene:
Energy Efficiency of the IEEE 802.15.4 Standard in Dense Wireless Microsensor Networks: Modeling and Improvement Perspectives. DATE 2005: 196-201 - [c8]Yves Vanderperren, Wim Dehaene:
UML 2 and SysML: An Approach to Deal with Complexity in SoC/NoC Design. DATE 2005: 716-717 - [c7]Hua Wang, Miguel Miranda, Wim Dehaene, Francky Catthoor, Karen Maex:
Systematic Analysis of Energy and Delay Impact of Very Deep Submicron Process Variability Effects in Embedded SRAM Modules. DATE 2005: 914-919 - [c6]Bert Serneels, Michiel Steyaert, Wim Dehaene:
A 5.5 V SOPA line driver in a standard 1.2 V 0.13 μm CMOS technology. ESSCIRC 2005: 303-306 - [c5]Yves Vanderperren, Wim Dehaene:
The SysML profile for embedded system modelling. FDL 2005: 589-598 - [c4]Marian Verhelst, Wim Dehaene:
System design of an ultra-low power, low data rate, pulsed UWB receiver in the 0-960 MHz band. ICC 2005: 2812-2817 - 2004
- [c3]Tao Chen, Peter Geens, Geert Van der Plas, Wim Dehaene, Georges G. E. Gielen:
A 14-bit 130-MHz CMOS current-steering DAC with adjustable INL. ESSCIRC 2004: 167-170 - [c2]Marian Verhelst, Wim Vereecken, Michiel Steyaert, Wim Dehaene:
Architectures for low power ultra-wideband radio receivers in the 3.1-5GHz band for data rates < 10Mbps. ISLPED 2004: 280-285 - 2003
- [c1]Ali Sayinta, Gorkem Canverdi, Marc Pauwels, Amer Alshawa, Wim Dehaene:
A Mixed Abstraction Level Co-Simulation Case Study Using SystemC for System on Chip Verification. DATE 2003: 20095-20100
1990 – 1999
- 1997
- [j2]Wim Dehaene, Michiel S. J. Steyaert, Willy Sansen:
A 50-MHz standard CMOS pulse equalizer for hard disk read channels. IEEE J. Solid State Circuits 32(7): 977-988 (1997) - 1995
- [j1]Michel S. J. Steyaert, Wim Dehaene, Jan Craninckx, Mairtin Walsh, Peter Real:
A CMOS rectifier-integrator for amplitude detection in hard disk servo loops. IEEE J. Solid State Circuits 30(7): 743-751 (1995)
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-10-07 21:14 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint