default search action
Bashir M. Al-Hashimi
Person information
- affiliation: University of Southampton, School of Electronics and Computer Science, UK
SPARQL queries
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [c169]Prabodh Katti, Anagha Nimbekar, Chen Li, Amit Acharyya, Bashir M. Al-Hashimi, Bipin Rajendran:
Bayesian Inference Accelerator for Spiking Neural Networks. ISCAS 2024: 1-5 - [i11]Prabodh Katti, Anagha Nimbekar, Chen Li, Amit Acharyya, Bashir M. Al-Hashimi, Bipin Rajendran:
Bayesian Inference Accelerator for Spiking Neural Networks. CoRR abs/2401.15453 (2024) - 2023
- [j105]Samuel Isuwa, David Amos, Amit Kumar Singh, Bashir M. Al-Hashimi, Geoff V. Merrett:
Maximising mobile user experience through self-adaptive content- and ambient-aware display brightness scaling. J. Syst. Archit. 145: 103023 (2023) - [j104]Clement Ruah, Osvaldo Simeone, Bashir M. Al-Hashimi:
A Bayesian Framework for Digital Twin-Based Control, Monitoring, and Data Collection in Wireless Systems. IEEE J. Sel. Areas Commun. 41(10): 3146-3160 (2023) - [j103]Mohsen Ansari, Sepideh Safari, Nezam Rohbani, Alireza Ejlali, Bashir M. Al-Hashimi:
Power-Efficient and Aging-Aware Primary/Backup Technique for Heterogeneous Embedded Systems. IEEE Trans. Sustain. Comput. 8(4): 715-726 (2023) - [c168]Samuel Isuwa, David Amos, Amit Kumar Singh, Bashir M. Al-Hashimi, Geoff V. Merrett:
Content- and Lighting-Aware Adaptive Brightness Scaling for Improved Mobile User Experience. DATE 2023: 1-2 - [c167]Clement Ruah, Osvaldo Simeone, Bashir M. Al-Hashimi:
Digital Twin-Based Multiple Access Optimization and Monitoring via Model-Driven Bayesian Learning. ICC 2023: 3860-3865 - [c166]Prabodh Katti, Nicolas Skatchkovsky, Osvaldo Simeone, Bipin Rajendran, Bashir M. Al-Hashimi:
Bayesian Inference on Binary Spiking Networks Leveraging Nanoscale Device Stochasticity. ISCAS 2023: 1-5 - [i10]Prabodh Katti, Nicolas Skatchkovsky, Osvaldo Simeone, Bipin Rajendran, Bashir M. Al-Hashimi:
Bayesian Inference on Binary Spiking Networks Leveraging Nanoscale Device Stochasticity. CoRR abs/2302.01302 (2023) - [i9]Bipin Rajendran, Osvaldo Simeone, Bashir M. Al-Hashimi:
Towards Efficient and Trustworthy AI Through Hardware-Algorithm-Communication Co-Design. CoRR abs/2309.15942 (2023) - [i8]Clement Ruah, Osvaldo Simeone, Jakob Hoydis, Bashir M. Al-Hashimi:
Calibrating Wireless Ray Tracing for Digital Twinning using Local Phase Error Estimates. CoRR abs/2312.12625 (2023) - 2022
- [j102]Amin Sabet, Jonathon S. Hare, Bashir M. Al-Hashimi, Geoff V. Merrett:
Similarity-Aware CNN for Efficient Video Recognition at the Edge. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(11): 4901-4914 (2022) - [j101]Samuel Isuwa, Somdip Dey, Andre P. Ortega, Amit Kumar Singh, Bashir M. Al-Hashimi, Geoff V. Merrett:
QUAREM: Maximising QoE Through Adaptive Resource Management in Mobile MPSoC Platforms. ACM Trans. Embed. Comput. Syst. 21(4): 38:1-38:29 (2022) - [i7]Lei Xun, Bashir M. Al-Hashimi, Jonathon S. Hare, Geoff V. Merrett:
Dynamic DNNs Meet Runtime Resource Management on Mobile and Embedded Platforms. CoRR abs/2206.02525 (2022) - [i6]Clement Ruah, Osvaldo Simeone, Bashir M. Al-Hashimi:
Digital Twin-Based Multiple Access Optimization and Monitoring via Model-Driven Bayesian Learning. CoRR abs/2210.05582 (2022) - [i5]Clement Ruah, Osvaldo Simeone, Bashir M. Al-Hashimi:
A Bayesian Framework for Digital Twin-Based Control, Monitoring, and Data Collection in Wireless Systems. CoRR abs/2212.01351 (2022) - 2021
- [j100]James R. B. Bantock, Bashir M. Al-Hashimi, Geoff V. Merrett:
Mitigating Interactive Performance Degradation From Mobile Device Thermal Throttling. IEEE Embed. Syst. Lett. 13(1): 5-8 (2021) - [i4]Lei Xun, Long Tran-Thanh, Bashir M. Al-Hashimi, Geoff V. Merrett:
Incremental Training and Group Convolution Pruning for Runtime DNN Performance Scaling on Heterogeneous Embedded Platforms. CoRR abs/2105.03600 (2021) - [i3]Lei Xun, Long Tran-Thanh, Bashir M. Al-Hashimi, Geoff V. Merrett:
Optimising Resource Management for Embedded Machine Learning. CoRR abs/2105.03608 (2021) - [i2]Amin Sabet, Jonathon S. Hare, Bashir M. Al-Hashimi, Geoff V. Merrett:
Temporal Early Exits for Efficient Video Object Detection. CoRR abs/2106.11208 (2021) - 2020
- [j99]Amit Kumar Singh, Somdip Dey, Klaus D. McDonald-Maier, Basireddy Karunakar Reddy, Geoff V. Merrett, Bashir M. Al-Hashimi:
Dynamic Energy and Thermal Management of Multi-core Mobile Platforms: A Survey. IEEE Des. Test 37(5): 25-33 (2020) - [j98]Asieh Salehi Fathabadi, Mohammadsadegh Dalvandi, Michael J. Butler, Bashir M. Al-Hashimi:
Verifying Cross-Layer Interactions Through Formal Model-Based Assertion Generation. IEEE Embed. Syst. Lett. 12(3): 83-86 (2020) - [j97]Amit Kumar Singh, Basireddy Karunakar Reddy, Alok Prakash, Geoff V. Merrett, Bashir M. Al-Hashimi:
Collaborative Adaptation for Energy-Efficient Heterogeneous Mobile SoCs. IEEE Trans. Computers 69(2): 185-197 (2020) - [j96]Basireddy Karunakar Reddy, Amit Kumar Singh, Bashir M. Al-Hashimi, Geoff V. Merrett:
AdaMD: Adaptive Mapping and DVFS for Energy-Efficient Heterogeneous Multicores. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10): 2206-2217 (2020) - [c165]Lei Xun, Long Tran-Thanh, Bashir M. Al-Hashimi, Geoff V. Merrett:
Optimising Resource Management for Embedded Machine Learning. DATE 2020: 1556-1561
2010 – 2019
- 2019
- [j95]Shuai Shao, Peter Hailes, Tsang-Yi Wang, Jwo-Yuh Wu, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
Survey of Turbo, LDPC, and Polar Decoder ASIC Implementations. IEEE Commun. Surv. Tutorials 21(3): 2309-2333 (2019) - [j94]Luping Xiang, Matthew F. Brejza, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
Arbitrarily Parallel Turbo Decoding for Ultra-Reliable Low Latency Communication in 3GPP LTE. IEEE J. Sel. Areas Commun. 37(4): 826-838 (2019) - [j93]Anand Savanth, Alex S. Weddell, James Myers, David Flynn, Bashir M. Al-Hashimi:
A Sub-nW/kHz Relaxation Oscillator With Ratioed Reference and Sub-Clock Power Gated Comparator. IEEE J. Solid State Circuits 54(11): 3097-3106 (2019) - [j92]Charan Kumar Vala, Mark French, Amit Acharyya, Bashir M. Al-Hashimi:
Low-Complexity Architecture for Cyber-Physical Systems Model Identification. IEEE Trans. Circuits Syst. II Express Briefs 66-II(8): 1416-1420 (2019) - [j91]Domenico Balsamo, Benjamin J. Fletcher, Alex S. Weddell, Giorgos Karatziolas, Bashir M. Al-Hashimi, Geoff V. Merrett:
Momentum: Power-neutral Performance Scaling with Intrinsic MPPT for Energy Harvesting Computing Systems. ACM Trans. Embed. Comput. Syst. 17(6): 93:1-93:25 (2019) - [j90]Eduardo Weber Wächter, Cedric de Bellefroid, Basireddy Karunakar Reddy, Amit Kumar Singh, Bashir M. Al-Hashimi, Geoff V. Merrett:
Predictive Thermal Management for Energy-Efficient Execution of Concurrent Applications on Heterogeneous Multicores. IEEE Trans. Very Large Scale Integr. Syst. 27(6): 1404-1415 (2019) - [c164]Ilias Vougioukas, Nikos Nikoleris, Andreas Sandberg, Stephan Diestelhorst, Bashir M. Al-Hashimi, Geoff V. Merrett:
BRB: Mitigating Branch Predictor Side-Channels. HPCA 2019: 466-477 - [c163]Gibeon Aquino, Rafael Fernandes de Queiroz, Geoff V. Merrett, Bashir M. Al-Hashimi:
The Circuit Breaker Pattern Targeted to Future IoT Applications. ICSOC 2019: 390-396 - [c162]Vasileios Tenentes, Shidhartha Das, Daniele Rossi, Bashir M. Al-Hashimi:
Run-time Detection and Mitigation of Power-Noise Viruses. IOLTS 2019: 275-280 - 2018
- [j89]Asieh Salehi Fathabadi, Michael J. Butler, Sheng Yang, Luis Alfonso Maeda-Nunez, James R. B. Bantock, Bashir M. Al-Hashimi, Geoff V. Merrett:
A model-based framework for software portability and verification in embedded power management systems. J. Syst. Archit. 82: 12-23 (2018) - [j88]Vasileios Tenentes, Daniele Rossi, S. Saqib Khursheed, Bashir M. Al-Hashimi, Krishnendu Chakrabarty:
Leakage Current Analysis for Diagnosis of Bridge Defects in Power-Gating Designs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(4): 883-895 (2018) - [j87]Daniele Rossi, Vasileios Tenentes, Sudhakar M. Reddy, Bashir M. Al-Hashimi, Andrew D. Brown:
Exploiting Aging Benefits for the Design of Reliable Drowsy Cache Memories. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(7): 1345-1357 (2018) - [j86]Charan Kumar Vala, Koushik Immadisetty, Amit Acharyya, Charles Leech, Vibishna Balagopal, Geoff V. Merrett, Bashir M. Al-Hashimi:
High-Speed Low-Complexity Guided Image Filtering-Based Disparity Estimation. IEEE Trans. Circuits Syst. I Regul. Pap. 65-I(2): 606-617 (2018) - [j85]Peter Hailes, Lei Xu, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
Hardware-Efficient Node Processing Unit Architectures for Flexible LDPC Decoder Implementations. IEEE Trans. Circuits Syst. II Express Briefs 65-II(12): 1919-1923 (2018) - [j84]Charles Leech, Charan Kumar Vala, Amit Acharyya, Sheng Yang, Geoff V. Merrett, Bashir M. Al-Hashimi:
Runtime Performance and Power Optimization of Parallel Disparity Estimation on Many-Core Platforms. ACM Trans. Embed. Comput. Syst. 17(2): 41:1-41:19 (2018) - [j83]Basireddy Karunakar Reddy, Amit Kumar Singh, Dwaipayan Biswas, Geoff V. Merrett, Bashir M. Al-Hashimi:
Inter-Cluster Thread-to-Core Mapping and DVFS on Heterogeneous Multi-Cores. IEEE Trans. Multi Scale Comput. Syst. 4(3): 369-382 (2018) - [c161]Basireddy Karunakar Reddy, Geoff V. Merrett, Bashir M. Al-Hashimi, Amit Kumar Singh:
Online concurrent workload classification for multi-core energy management. DATE 2018: 621-624 - [c160]Anup Das, Domenico Balsamo, Geoff V. Merrett, Bashir M. Al-Hashimi, Francky Catthoor:
Graceful Performance Adaption through Hardware-Software Interaction for Autonomous Battery Management of Multicore Smartphones. IGSC 2018: 1-6 - [c159]Basireddy Karunakar Reddy, Eduardo Weber Wächter, Bashir M. Al-Hashimi, Geoff V. Merrett:
Workload-Aware Runtime Energy Management for HPC Systems. HPCS 2018: 292-299 - [c158]Vasileios Tenentes, Daniele Rossi, Bashir M. Al-Hashimi:
Collective-Aware System-on-Chips for Dependable IoT Applications. IOLTS 2018: 57-60 - [c157]Matthew J. Walker, Sascha Bischoff, Stephan Diestelhorst, Geoff V. Merrett, Bashir M. Al-Hashimi:
Hardware-Validated CPU Performance and Energy Modelling. ISPASS 2018: 44-53 - [c156]Graeme M. Bragg, Charles Leech, Domenico Balsamo, James J. Davis, Eduardo Wächter, Geoff V. Merrett, George A. Constantinides, Bashir M. Al-Hashimi:
An Application- and Platform-agnostic Runtime Management Framework for Multicore Systems. PECCS 2018: 195-204 - 2017
- [j82]Matthew F. Brejza, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
A High-Throughput FPGA Architecture for Joint Source and Channel Decoding. IEEE Access 5: 2921-2944 (2017) - [j81]Peter Hailes, Lei Xu, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
A Flexible FPGA-Based Quasi-Cyclic LDPC Decoder. IEEE Access 5: 20965-20984 (2017) - [j80]Matthew F. Brejza, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
Flexible iterative receiver architecture for wireless sensor networks: a joint source and channel coding design example. IET Wirel. Sens. Syst. 7(2): 27-34 (2017) - [j79]Amit Kumar Singh, Charles Leech, Basireddy Karunakar Reddy, Bashir M. Al-Hashimi, Geoff V. Merrett:
Learning-Based Run-Time Power and Energy Management of Multi/Many-Core Systems: Current and Future Trends. J. Low Power Electron. 13(3): 310-325 (2017) - [j78]Matthew J. Walker, Stephan Diestelhorst, Andreas Hansson, Anup Das, Sheng Yang, Bashir M. Al-Hashimi, Geoff V. Merrett:
Accurate and Stable Run-Time Power Modeling for Mobile and Embedded CPUs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(1): 106-119 (2017) - [j77]Daniele Rossi, Vasileios Tenentes, Sheng Yang, Syed Saqib Khursheed, Bashir M. Al-Hashimi:
Aging Benefits in Nanometer CMOS Designs. IEEE Trans. Circuits Syst. II Express Briefs 64-II(3): 324-328 (2017) - [j76]Anand Savanth, Alex S. Weddell, James Myers, David Flynn, Bashir M. Al-Hashimi:
Integrated Reciprocal Conversion With Selective Direct Operation for Energy Harvesting Systems. IEEE Trans. Circuits Syst. I Regul. Pap. 64-I(9): 2370-2379 (2017) - [j75]Amit Kumar Singh, Alok Prakash, Basireddy Karunakar Reddy, Geoff V. Merrett, Bashir M. Al-Hashimi:
Energy-Efficient Run-Time Mapping and Thread Partitioning of Concurrent OpenCL Applications on CPU-GPU MPSoCs. ACM Trans. Embed. Comput. Syst. 16(5s): 147:1-147:22 (2017) - [j74]Ilias Vougioukas, Andreas Sandberg, Stephan Diestelhorst, Bashir M. Al-Hashimi, Geoff V. Merrett:
Nucleus: Finding the Sharing Limit of Heterogeneous Cores. ACM Trans. Embed. Comput. Syst. 16(5s): 152:1-152:16 (2017) - [j73]Vasileios Tenentes, Daniele Rossi, Sheng Yang, S. Saqib Khursheed, Bashir M. Al-Hashimi, Steve R. Gunn:
Coarse-Grained Online Monitoring of BTI Aging by Reusing Power-Gating Infrastructure. IEEE Trans. Very Large Scale Integr. Syst. 25(4): 1397-1407 (2017) - [c155]Geoff V. Merrett, Bashir M. Al-Hashimi:
Energy-driven computing: Rethinking the design of energy harvesting systems. DATE 2017: 960-965 - [c154]Dwaipayan Biswas, Vibishna Balagopal, Rishad A. Shafik, Bashir M. Al-Hashimi, Geoff V. Merrett:
Machine learning for run-time energy optimisation in many-core systems. DATE 2017: 1588-1592 - [c153]Vasileios Tenentes, Charles Leech, Graeme M. Bragg, Geoff V. Merrett, Bashir M. Al-Hashimi, Hussam Amrouch, Jörg Henkel, Shidhartha Das:
Hardware and software innovations in energy-efficient system-reliability monitoring. DFT 2017: 1-5 - [c152]Eduardo Weber Wächter, Geoff V. Merrett, Bashir M. Al-Hashimi, Amit Kumar Singh:
Reliable mapping and partitioning of performance-constrained openCL applications on CPU-GPU MPSoCs. ESTIMedia 2017: 78-83 - [c151]James R. B. Bantock, Vasileios Tenentes, Bashir M. Al-Hashimi, Geoff V. Merrett:
Online tuning of Dynamic Power Management for efficient execution of interactive workloads. ISLPED 2017: 1-6 - [c150]Anand Savanth, James Myers, Alex S. Weddell, David Flynn, Bashir M. Al-Hashimi:
5.6 A 0.68nW/kHz supply-independent Relaxation Oscillator with ±0.49%/V and 96ppm/°C stability. ISSCC 2017: 96-97 - [c149]Domenico Balsamo, Ali Elboreini, Bashir M. Al-Hashimi, Geoff V. Merrett:
Exploring ARM mbed support for transient computing in energy harvesting IoT systems. IWASI 2017: 115-120 - [c148]Basireddy Karunakar Reddy, Matthew J. Walker, Domenico Balsamo, Stephan Diestelhorst, Bashir M. Al-Hashimi, Geoff V. Merrett:
Empirical CPU power modelling and estimation in the gem5 simulator. PATMOS 2017: 1-8 - [c147]Anand Savanth, Alex S. Weddell, James Myers, David Flynn, Bashir M. Al-Hashimi:
A 50nW Voltage Monitor Scheme for Minimum Energy Sensor Systems. VLSID 2017: 81-86 - 2016
- [j72]An Li, Luping Xiang, Taihai Chen, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
VLSI Implementation of Fully Parallel LTE Turbo Decoders. IEEE Access 4: 323-346 (2016) - [j71]Isaac Perez-Andrade, Shida Zhong, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
Stochastic Computing Improves the Timing-Error Tolerance and Latency of Turbo Decoders: Design Guidelines and Tradeoffs. IEEE Access 4: 1008-1038 (2016) - [j70]Xin Zuo, Isaac Perez-Andrade, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
Improving the Tolerance of Stochastic LDPC Decoders to Overclocking-Induced Timing Errors: A Tutorial and a Design Example. IEEE Access 4: 1607-1629 (2016) - [j69]An Li, Peter Hailes, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
1.5 Gbit/s FPGA Implementation of a Fully-Parallel Turbo Decoder Designed for Mission-Critical Machine-Type Communication Applications. IEEE Access 4: 5452-5473 (2016) - [j68]An Li, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
Implementation of a Fully-Parallel Turbo Decoder on a General-Purpose Graphics Processing Unit. IEEE Access 4: 5624-5639 (2016) - [j67]Matthew F. Brejza, Tao Wang, Wenbo Zhang, David Al-Khalili, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
Exponential Golomb and Rice Error Correction Codes for Generalized Near-Capacity Joint Source and Channel Coding. IEEE Access 4: 7154-7175 (2016) - [j66]Ra'ed Al-Dujaily, An Li, Robert G. Maunder, Terrence S. T. Mak, Bashir M. Al-Hashimi, Lajos Hanzo:
A Scalable Turbo Decoding Algorithm for High-Throughput Network-on-Chip Implementation. IEEE Access 4: 9880-9894 (2016) - [j65]Matthew F. Brejza, Liang Li, Robert G. Maunder, Bashir M. Al-Hashimi, Claude Berrou, Lajos Hanzo:
20 Years of Turbo Coding and Energy-Aware Design Guidelines for Energy-Constrained Wireless Applications. IEEE Commun. Surv. Tutorials 18(1): 8-28 (2016) - [j64]Peter Hailes, Lei Xu, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
A Survey of FPGA-Based LDPC Decoders. IEEE Commun. Surv. Tutorials 18(2): 1098-1122 (2016) - [j63]Domenico Balsamo, Anup Das, Alex S. Weddell, Davide Brunelli, Bashir M. Al-Hashimi, Geoff V. Merrett, Luca Benini:
Graceful Performance Modulation for Power-Neutral Transient Computing Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(5): 738-749 (2016) - [j62]Rishad A. Shafik, Sheng Yang, Anup Das, Luis Alfonso Maeda-Nunez, Geoff V. Merrett, Bashir M. Al-Hashimi:
Learning Transfer-Based Adaptive Energy Minimization in Embedded Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(6): 877-890 (2016) - [j61]Anup Das, Geoff V. Merrett, Mirco Tribastone, Bashir M. Al-Hashimi:
Workload Change Point Detection for Runtime Thermal Management of Embedded Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(8): 1358-1371 (2016) - [j60]Domenico Balsamo, Alex S. Weddell, Anup Das, Alberto Rodriguez Arreola, Davide Brunelli, Bashir M. Al-Hashimi, Geoff V. Merrett, Luca Benini:
Hibernus++: A Self-Calibrating and Adaptive System for Transiently-Powered Embedded Devices. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(12): 1968-1980 (2016) - [j59]Anup Das, Bashir M. Al-Hashimi, Geoff V. Merrett:
Adaptive and Hierarchical Runtime Manager for Energy-Aware Thermal Management of Embedded Systems. ACM Trans. Embed. Comput. Syst. 15(2): 24:1-24:25 (2016) - [j58]Mohammad Salehi, Alireza Ejlali, Bashir M. Al-Hashimi:
Two-Phase Low-Energy N-Modular Redundancy for Hard Real-Time Multi-Core Systems. IEEE Trans. Parallel Distributed Syst. 27(5): 1497-1510 (2016) - [j57]Jedrzej Kufel, Peter R. Wilson, Stephen Hill, Bashir M. Al-Hashimi, Paul N. Whatmough:
Sequence-Aware Watermark Design for Soft IP Embedded Processors. IEEE Trans. Very Large Scale Integr. Syst. 24(1): 276-289 (2016) - [j56]Daniele Rossi, Vasileios Tenentes, Sheng Yang, S. Saqib Khursheed, Bashir M. Al-Hashimi:
Reliable Power Gating With NBTI Aging Benefits. IEEE Trans. Very Large Scale Integr. Syst. 24(8): 2735-2744 (2016) - [c146]Anup Das, Geoff V. Merrett, Bashir M. Al-Hashimi:
The slowdown or race-to-idle question: Workload-aware energy optimization of SMT multicore platforms under process variation. DATE 2016: 535-538 - [c145]Hardeep Chahal, Vasileios Tenentes, Daniele Rossi, Bashir M. Al-Hashimi:
BTI aware thermal management for reliable DVFS designs. DFT 2016: 1-6 - [c144]Matthew J. Walker, Stephan Diestelhorst, Andreas Hansson, Domenico Balsamo, Geoff V. Merrett, Bashir M. Al-Hashimi:
Thermally-aware composite run-time CPU power models. PATMOS 2016: 17-24 - [c143]Yi Zhao, S. Saqib Khursheed, Bashir M. Al-Hashimi, Zhiwen Zhao:
Co-optimization of fault tolerance, wirelength and temperature mitigation in TSV-based 3D ICs. VLSI-SoC 2016: 1-6 - 2015
- [j55]Domenico Balsamo, Alex S. Weddell, Geoff V. Merrett, Bashir M. Al-Hashimi, Davide Brunelli, Luca Benini:
Hibernus: Sustaining Computation During Intermittent Supply for Energy-Harvesting Systems. IEEE Embed. Syst. Lett. 7(1): 15-18 (2015) - [j54]Vasileios Tenentes, S. Saqib Khursheed, Daniele Rossi, Sheng Yang, Bashir M. Al-Hashimi:
DFT Architecture With Power-Distribution-Network Consideration for Delay-Based Power Gating Test. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(12): 2013-2024 (2015) - [j53]Yi Zhao, S. Saqib Khursheed, Bashir M. Al-Hashimi:
Online Fault Tolerance Technique for TSV-Based 3-D-IC. IEEE Trans. Very Large Scale Integr. Syst. 23(8): 1567-1571 (2015) - [c142]Anup Das, Akash Kumar, Bharadwaj Veeravalli, Rishad Ahmed Shafik, Geoff V. Merrett, Bashir M. Al-Hashimi:
Workload uncertainty characterization and adaptive frequency scaling for energy minimization of embedded systems. DATE 2015: 43-48 - [c141]Daniele Rossi, Vasileios Tenentes, S. Saqib Khursheed, Bashir M. Al-Hashimi:
NBTI and leakage aware sleep transistor design for reliable and energy efficient power gating. ETS 2015: 1-6 - [c140]Vasileios Tenentes, Daniele Rossi, S. Saqib Khursheed, Bashir M. Al-Hashimi:
Diagnosis of power switches with power-distribution-network consideration. ETS 2015: 1-6 - [c139]Rishad A. Shafik, Anup Das, Sheng Yang, Geoff V. Merrett, Bashir M. Al-Hashimi:
Adaptive Energy Minimization of OpenMP Parallel Applications on Many-Core Systems. PARMA-DITAM@HiPEAC 2015: 19-24 - [c138]Matthew F. Brejza, Wenbo Zhang, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
Adaptive iterative detection for expediting the convergence of a serially concatenated Unary Error Correction decoder, turbo decoder and an iterative demodulator. ICC 2015: 2603-2608 - [c137]Daniele Rossi, Vasileios Tenentes, S. Saqib Khursheed, Bashir M. Al-Hashimi:
BTI and leakage aware dynamic voltage scaling for reliable low power cache memories. IOLTS 2015: 194-199 - [c136]Anup Das, Matthew J. Walker, Andreas Hansson, Bashir M. Al-Hashimi, Geoff V. Merrett:
Hardware-software interaction for run-time power optimization: A case study of embedded Linux on multicore smartphones. ISLPED 2015: 165-170 - [c135]Asieh Salehi Fathabadi, Luis Alfonso Maeda-Nunez, Michael J. Butler, Bashir M. Al-Hashimi, Geoff V. Merrett:
Towards Automatic Code Generation of Run-Time Power Management for Embedded Systems Using Formal Methods. MCSoC 2015: 104-111 - [c134]Sheng Yang, Rishad A. Shafik, Geoff V. Merrett, Edward A. Stott, Joshua M. Levine, James J. Davis, Bashir M. Al-Hashimi:
Adaptive energy minimization of embedded heterogeneous systems using regression-based learning. PATMOS 2015: 103-110 - [c133]Sheng Yang, Rishad A. Shafik, S. Saqib Khursheed, David Flynn, Geoff V. Merrett, Bashir M. Al-Hashimi:
Application-specific memory protection policies for energy-efficient reliable design. RSP 2015: 18-24 - [c132]Alberto Rodriguez Arreola, Domenico Balsamo, Anup K. Das, Alex S. Weddell, Davide Brunelli, Bashir M. Al-Hashimi, Geoff V. Merrett:
Approaches to Transient Computing for Energy Harvesting Systems: A Quantitative Evaluation. ENSsys@SenSys 2015: 3-8 - [c131]Anand Savanth, Alex S. Weddell, James Myers, David Flynn, Bashir M. Al-Hashimi:
Photovoltaic Cells for Micro-Scale Wireless Sensor Nodes: Measurement and Modeling to Assist System Design. ENSsys@SenSys 2015: 15-20 - 2014
- [j52]Shida Zhong, S. Saqib Khursheed, Bashir M. Al-Hashimi, Wei Zhao:
Efficient Variation-Aware Delay Fault Simulation Methodology for Resistive Open and Bridge Defects. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(5): 798-810 (2014) - [j51]S. Saqib Khursheed, Kan Shi, Bashir M. Al-Hashimi, Peter R. Wilson, Krishnendu Chakrabarty:
Delay Test for Diagnosis of Power Switches. IEEE Trans. Very Large Scale Integr. Syst. 22(2): 197-206 (2014) - [j50]Jatin N. Mistry, James Myers, Bashir M. Al-Hashimi, David Flynn, John Biggs, Geoff V. Merrett:
Active Mode Subclock Power Gating. IEEE Trans. Very Large Scale Integr. Syst. 22(9): 1898-1908 (2014) - [c130]Vasileios Tenentes, S. Saqib Khursheed, Bashir M. Al-Hashimi, Shida Zhong, Sheng Yang:
High Quality Testing of Grid Style Power Gating. ATS 2014: 186-191 - [c129]Anup Das, Rishad A. Shafik, Geoff V. Merrett, Bashir M. Al-Hashimi, Akash Kumar, Bharadwaj Veeravalli:
Reinforcement Learning-Based Inter- and Intra-Application Thermal Optimization for Lifetime Improvement of Multicore Systems. DAC 2014: 170:1-170:6 - [c128]Matthias Boettcher, Bashir M. Al-Hashimi, Mbou Eyole, Giacomo Gabrielli, Alastair Reid:
Advanced SIMD: Extending the reach of contemporary SIMD architectures. DATE 2014: 1-4 - [c127]Jedrzej Kufel, Peter R. Wilson, Stephen Hill, Bashir M. Al-Hashimi, Paul N. Whatmough, James Myers:
Clock-modulation based watermark for protection of embedded processors. DATE 2014: 1-6 - [c126]Syed Asim Jalal, Nicholas Gibbins, David E. Millard, Bashir M. Al-Hashimi, Naif Radi Aljohani:
Energy-Aware Streaming Multimedia Adaptation: An Educational Perspective. MoMM 2014: 240-248 - [c125]Syed Asim Jalal, Nicholas Gibbins, David E. Millard, Bashir M. Al-Hashimi, Naif Radi Aljohani:
Learner-battery interaction in energy-aware learning multimedia systems. MUM 2014: 216-219 - 2013
- [j49]Sheng Yang, S. Saqib Khursheed, Bashir M. Al-Hashimi, David Flynn, Geoff V. Merrett:
Improved State Integrity of Flip-Flops for Voltage Scaled Retention Under PVT Variation. IEEE Trans. Circuits Syst. I Regul. Pap. 60-I(11): 2953-2961 (2013) - [j48]Liang Li, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
A Low-Complexity Turbo Decoder Architecture for Energy-Efficient Wireless Sensor Networks. IEEE Trans. Very Large Scale Integr. Syst. 21(1): 14-22 (2013) - [j47]Liang Li, Robert G. Maunder, Bashir M. Al-Hashimi, Mark Zwolinski, Lajos Hanzo:
Energy-Conscious Turbo Decoder Design: A Joint Signal Processing and Transmit Energy Reduction Approach. IEEE Trans. Veh. Technol. 62(8): 3627-3638 (2013) - [c124]Matthias Boettcher, Giacomo Gabrielli, Bashir M. Al-Hashimi, Danny Kershaw:
MALEC: a multiple access low energy cache. DATE 2013: 368-373 - [c123]Tom J. Kazmierski, Leran Wang, Bashir M. Al-Hashimi, Geoff V. Merrett:
DoE-based performance optimization of energy management in sensor nodes powered by tunable energy-harvesters. DATE 2013: 484 - [c122]Alex S. Weddell, Michele Magno, Geoff V. Merrett, Davide Brunelli, Bashir M. Al-Hashimi, Luca Benini:
A survey of multi-source energy harvesting systems. DATE 2013: 905-908 - [c121]Shida Zhong, S. Saqib Khursheed, Bashir M. Al-Hashimi:
Impact of PVT variation on delay test of resistive open and resistive bridge defects. DFTS 2013: 230-235 - [c120]Syed Asim Jalal, Nicholas Gibbins, David E. Millard, Bashir M. Al-Hashimi, Naif Radi Aljohani:
Energy-Aware Adaptation of Educational Multimedia in Mobile Learning. MoMM 2013: 434 - [c119]Syed Asim Jalal, Nicholas Gibbins, David E. Millard, Bashir M. Al-Hashimi, Naif Radi Aljohani:
Content-Aware Power Saving Multimedia Adaptation for Mobile Learning. NGMAST 2013: 256-261 - [c118]Bashir M. Al-Hashimi:
Hardware reliability of embedded systems: Are we there yet? PATMOS 2013: 1 - [c117]Sascha Bischoff, Andreas Hansson, Bashir M. Al-Hashimi:
Applying of Quality of Experience to system optimisation. PATMOS 2013: 91-98 - [c116]Alex S. Weddell, Dibin Zhu, Geoff V. Merrett, Stephen P. Beeby, Bashir M. Al-Hashimi:
Tunable vibration energy harvester. ENSSys@SenSys 2013: 18:1-18:2 - [c115]Isaac Perez-Andrade, Xin Zuo, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
Analysis of voltage- and clock-scaling-induced timing errors in stochastic LDPC decoders. WCNC 2013: 4293-4298 - 2012
- [j46]Rishad A. Shafik, Bashir M. Al-Hashimi, Jeffrey S. Reeve:
System-level design optimization of reliable and low power multiprocessor system-on-chip. Microelectron. Reliab. 52(8): 1735-1748 (2012) - [j45]Alireza Ejlali, Bashir M. Al-Hashimi, Petru Eles:
Low-Energy Standby-Sparing for Hard Real-Time Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(3): 329-342 (2012) - [j44]Tom J. Kazmierski, Leran Wang, Bashir M. Al-Hashimi, Geoff V. Merrett:
An Explicit Linearized State-Space Technique for Accelerated Simulation of Electromagnetic Vibration Energy Harvesters. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(4): 522-531 (2012) - [j43]Alex S. Weddell, Geoff V. Merrett, Bashir M. Al-Hashimi:
Photovoltaic Sample-and-Hold Circuit Enabling MPPT Indoors for Low-Power Systems. IEEE Trans. Circuits Syst. I Regul. Pap. 59-I(6): 1196-1204 (2012) - [c114]Bashir M. Al-Hashimi, Ronny Morad:
Accelerators and emulators: Can they become the platform of choice for hardware verification? DATE 2012: 430 - [c113]Leran Wang, Tom J. Kazmierski, Bashir M. Al-Hashimi, Mansour Aloufi, Joseph Wenninger:
Response-surface-based design space exploration and optimisation of wireless sensor nodes with tunable energy harvesters. DATE 2012: 733-738 - [c112]Rishad A. Shafik, Bashir M. Al-Hashimi, Jimson Mathew, Dhiraj K. Pradhan, Saraju P. Mohanty:
RAEF: A Power Normalized System-Level Reliability Analysis and Estimation Framework. ISVLSI 2012: 189-194 - [c111]Asim Jalal, Nicholas Gibbins, David E. Millard, Bashir M. Al-Hashimi:
Enabling the discovery of Adaptive Learning Resources for Mobile Learner. mLearn 2012: 256-261 - [c110]Jatin N. Mistry, John Biggs, James Myers, Bashir M. Al-Hashimi, David Flynn:
dRail: A Novel Physical Layout Methodology for Power Gated Circuits. PATMOS 2012: 245-255 - 2011
- [j42]Rishad A. Shafik, Bashir M. Al-Hashimi:
Reliability analysis of on-chip communication architectures: An MPEG-2 video decoder case study. Microprocess. Microsystems 35(2): 285-296 (2011) - [j41]Shida Zhong, S. Saqib Khursheed, Bashir M. Al-Hashimi:
A Fast and Accurate Process Variation-Aware Modeling Technique for Resistive Bridge Defects. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(11): 1719-1730 (2011) - [j40]Sheng Yang, S. Saqib Khursheed, Bashir M. Al-Hashimi, David Flynn, Sachin Idgunji:
Reliable State Retention-Based Embedded Processors Through Monitoring and Recovery. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(12): 1773-1785 (2011) - [j39]Alex S. Weddell, Geoff V. Merrett, Tom J. Kazmierski, Bashir M. Al-Hashimi:
Accurate Supercapacitor Modeling for Energy Harvesting Wireless Sensor Nodes. IEEE Trans. Circuits Syst. II Express Briefs 58-II(12): 911-915 (2011) - [j38]Amit Acharyya, Koushik Maharatna, Bashir M. Al-Hashimi:
Algorithm and Architecture for N-D Vector Cross-Product Computation. IEEE Trans. Signal Process. 59(2): 812-826 (2011) - [j37]Amit Acharyya, Koushik Maharatna, Bashir M. Al-Hashimi, Jeffrey S. Reeve:
Coordinate Rotation Based Low Complexity N-D FastICA Algorithm and Architecture. IEEE Trans. Signal Process. 59(8): 3997-4011 (2011) - [c109]Yi Zhao, S. Saqib Khursheed, Bashir M. Al-Hashimi:
Cost-Effective TSV Grouping for Yield Improvement of 3D-ICs. Asian Test Symposium 2011: 201-206 - [c108]Shida Zhong, S. Saqib Khursheed, Bashir M. Al-Hashimi, Sudhakar M. Reddy, Krishnendu Chakrabarty:
Analysis of Resistive Bridge Defect Delay Behavior in the Presence of Process Variation. Asian Test Symposium 2011: 389-394 - [c107]Jatin N. Mistry, Bashir M. Al-Hashimi, David Flynn, Stephen Hill:
Sub-clock power-gating technique for minimising leakage power during active mode. DATE 2011: 106-111 - [c106]Alex S. Weddell, Geoff V. Merrett, Bashir M. Al-Hashimi:
Ultra low-power photovoltaic MPPT technique for indoor and outdoor wireless sensor nodes. DATE 2011: 905-908 - [c105]Leran Wang, Tom J. Kazmierski, Bashir M. Al-Hashimi, Alex S. Weddell, Geoff V. Merrett, Ivo N. Ayala-Garcia:
Accelerated simulation of tunable vibration energy harvesting systems using a linearised state-space technique. DATE 2011: 1267-1272 - [c104]S. Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang, David Flynn:
Improved DFT for Testing Power Switches. ETS 2011: 7-12 - [c103]Amit Acharyya, Koushik Maharatna, Bashir M. Al-Hashimi, Hasitha Tudugalle:
Simplified logic design methodology for fuzzy membership function based robust detection of maternal modulus maxima location: A low complexity Fetal ECG extraction architecture for mobile health monitoring systems. ISCAS 2011: 77-80 - [c102]Urban Ingelsson, Bashir M. Al-Hashimi:
Investigation into voltage and process variation-aware manufacturing test. ITC 2011: 1-10 - [c101]Dirk De Jager, Alex L. Wood, Geoff V. Merrett, Bashir M. Al-Hashimi, Kieron O'Hara, Nigel R. Shadbolt, Wendy Hall:
A low-power, distributed, pervasive healthcare system for supporting memory. MobileHealth@MobiHoc 2011: 5 - 2010
- [j36]Aissa Melouki, Saket Srivastava, Bashir M. Al-Hashimi:
Fault-tolerance techniques for hybrid CMOS/nanoarchitecture. IET Comput. Digit. Tech. 4(3): 240-250 (2010) - [j35]S. Saqib Khursheed, Bashir M. Al-Hashimi, Krishnendu Chakrabarty, Peter Harrod:
Gate-Sizing-Based Single Vdd Test for Bridge Defects in Multivoltage Designs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(9): 1409-1421 (2010) - [j34]Liang Li, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
An Energy-Efficient Error Correction Scheme for IEEE 802.15.4 Wireless Sensor Networks. IEEE Trans. Circuits Syst. II Express Briefs 57-II(3): 233-237 (2010) - [j33]Alireza Ejlali, Bashir M. Al-Hashimi, Paul M. Rosinger, Seyed Ghassem Miremadi, Luca Benini:
Performability/Energy Tradeoff in Error-Control Schemes for On-Chip Networks. IEEE Trans. Very Large Scale Integr. Syst. 18(1): 1-14 (2010) - [c100]Sheng Yang, Bashir M. Al-Hashimi, David Flynn, S. Saqib Khursheed:
Scan based methodology for reliable state retention power gating designs. DATE 2010: 69-74 - [c99]Mustafa Imran Ali, Bashir M. Al-Hashimi, Joaquín Recas, David Atienza:
Evaluation and design exploration of solar harvested-energy prediction algorithm. DATE 2010: 142-147 - [c98]Rishad A. Shafik, Bashir M. Al-Hashimi, Krishnendu Chakrabarty:
Soft error-aware design optimization of low power and time-constrained embedded systems. DATE 2010: 1462-1467 - [c97]S. Saqib Khursheed, Shida Zhong, Robert C. Aitken, Bashir M. Al-Hashimi, Sandip Kundu:
Modeling the impact of process variation on resistive bridge defects. ITC 2010: 295-304 - [c96]Liang Li, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo:
Design of Fixed-Point Processing Based Turbo Codes Using Extrinsic Information Transfer Charts. VTC Fall 2010: 1-5 - [e2]Giovanni De Micheli, Bashir M. Al-Hashimi, Wolfgang Müller, Enrico Macii:
Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010. IEEE Computer Society 2010, ISBN 978-1-4244-7054-9 [contents]
2000 – 2009
- 2009
- [j32]Aiman H. El-Maleh, Bashir M. Al-Hashimi, Aissa Melouki, Farhan Khan:
Defect-tolerant n2-transistor structure for reliable nanoelectronic designs. IET Comput. Digit. Tech. 3(6): 570-580 (2009) - [j31]Rishad Ahmed Shafik, Bashir M. Al-Hashimi, Sandip Kundu, Alireza Ejlali:
Soft Error-Aware Voltage Scaling Technique for Power Minimization in Application-Specific Multiprocessor System-on-Chip. J. Low Power Electron. 5(2): 145-156 (2009) - [j30]S. Saqib Khursheed, Bashir M. Al-Hashimi, Sudhakar M. Reddy, Peter Harrod:
Diagnosis of Multiple-Voltage Design With Bridge Defect. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(3): 406-416 (2009) - [j29]Urban Ingelsson, Bashir M. Al-Hashimi, S. Saqib Khursheed, Sudhakar M. Reddy, Peter Harrod:
Process Variation-Aware Test for Resistive Bridges. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(8): 1269-1274 (2009) - [j28]Amit Acharyya, Koushik Maharatna, Bashir M. Al-Hashimi, Steve R. Gunn:
Memory Reduction Methodology for Distributed-Arithmetic-Based DWT/IDWT Exploiting Data Symmetry. IEEE Trans. Circuits Syst. II Express Briefs 56-II(4): 285-289 (2009) - [c95]Alireza Ejlali, Bashir M. Al-Hashimi, Petru Eles:
A standby-sparing technique with low energy-overhead for fault-tolerant hard real-time systems. CODES+ISSS 2009: 193-202 - [c94]Biswajit Mishra, Bashir M. Al-Hashimi, Mark Zwolinski:
Variation resilient adaptive controller for subthreshold circuits. DATE 2009: 142-147 - [c93]S. Saqib Khursheed, Bashir M. Al-Hashimi, Peter Harrod:
Test cost reduction for multiple-voltage designs with bridge defects through Gate-Sizing. DATE 2009: 1349-1354 - [c92]Leran Wang, Tom J. Kazmierski, Bashir M. Al-Hashimi, Stephen P. Beeby, Dibin Zhu:
An automated design flow for vibration-based energy harvester systems. DATE 2009: 1391-1396 - [c91]Ashish Darbari, Bashir M. Al-Hashimi, David Flynn, John Biggs:
Selective state retention design using symbolic simulation. DATE 2009: 1644-1649 - [c90]Amit Acharyya, Koushik Maharatna, Jinhong Sun, Bashir M. Al-Hashimi, Steve R. Gunn:
Hardware efficient fixed-point VLSI architecture for 2D Kurtotic FastICA. ECCTD 2009: 165-168 - [c89]Tom J. Kazmierski, Dafeng Zhou, Bashir M. Al-Hashimi:
HSPICE implementation of a numerically efficient model of CNT transistor. FDL 2009: 1-5 - [c88]Saket Srivastava, Aissa Melouki, Bashir M. Al-Hashimi:
Defect tolerance in hybrid nano/CMOS architecture using tagging mechanism. NANOARCH 2009: 43-46 - [c87]M. A. Ochoa-Montiel, Bashir M. Al-Hashimi, Peter Kollig:
Design of a low power MPEG-1 motion vector reconstructor. SBCCI 2009 - [c86]Geoff V. Merrett, Neil M. White, Nick R. Harris, Bashir M. Al-Hashimi:
Energy-Aware Simulation for Wireless Sensor Networks. SECON 2009: 1-8 - [c85]Amit Acharyya, Koushik Maharatna, Bashir M. Al-Hashimi:
Hardware reduction methodology for 2-dimensional kurtotic fastica based on algorithmic analysis and architectural symmetry. SiPS 2009: 069-074 - [e1]Luca Benini, Giovanni De Micheli, Bashir M. Al-Hashimi, Wolfgang Müller:
Design, Automation and Test in Europe, DATE 2009, Nice, France, April 20-24, 2009. IEEE 2009, ISBN 978-1-4244-3781-8 [contents] - 2008
- [j27]Zhiyuan He, Zebo Peng, Petru Eles, Paul M. Rosinger, Bashir M. Al-Hashimi:
Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving. J. Electron. Test. 24(1-3): 247-257 (2008) - [j26]S. Saqib Khursheed, Urban Ingelsson, Paul M. Rosinger, Bashir M. Al-Hashimi, Peter Harrod:
Bridging Fault Test Method With Adaptive Power Management Awareness. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(6): 1117-1127 (2008) - [c84]Aiman H. El-Maleh, Bashir M. Al-Hashimi, Aissa Melouki:
Transistor-level based defect tolerance for reliable nanoelectronics. AICCSA 2008: 53-60 - [c83]Amit Acharyya, Koushik Maharatna, Bashir M. Al-Hashimi:
Hardware development for pervasive healthcare systems: Current status and future directions. APCCAS 2008: 1304-1307 - [c82]Urban Ingelsson, Bashir M. Al-Hashimi, Peter Harrod:
Variation Aware Analysis of Bridging Fault Testing. ATS 2008: 206-211 - [c81]Ashish Darbari, Bashir M. Al-Hashimi:
Hardware Dependability in the Presence of Soft Errors. BCS Int. Acad. Conf. 2008: 287-294 - [c80]Peter R. Wilson, Reuben Wilcock, Iain McNally, Matthew Swabey, Bashir M. Al-Hashimi:
The Superchip: Innovative teaching of IC design and manufacture. CICC 2008: 535-538 - [c79]Simon Ogg, Bashir M. Al-Hashimi, Alexandre Yakovlev:
Asynchronous transient resilient links for NoC. CODES+ISSS 2008: 209-214 - [c78]Tom J. Kazmierski, Dafeng Zhou, Bashir M. Al-Hashimi:
Efficient circuit-level modelling of ballistic CNT using piecewise non-linear approximation of mobile charge density. DATE 2008: 146-151 - [c77]Leran Wang, Tom J. Kazmierski, Bashir M. Al-Hashimi, Stephen P. Beeby, Russel N. Torah:
Integrated approach to energy harvester mixed technology modelling and performance optimisation. DATE 2008: 704-709 - [c76]Simon Ogg, Enrico Valli, Bashir M. Al-Hashimi, Alexandre Yakovlev, Crescenzo D'Alessandro, Luca Benini:
Serialized Asynchronous Links for NoC. DATE 2008: 1003-1008 - [c75]Rishad A. Shafik, Paul M. Rosinger, Bashir M. Al-Hashimi:
MPEG-based Performance Comparison between Network-on-Chip and AMBA MPSoC. DDECS 2008: 98-103 - [c74]S. Saqib Khursheed, Paul M. Rosinger, Bashir M. Al-Hashimi, Sudhakar M. Reddy, Peter Harrod:
Bridge Defect Diagnosis for Multiple-Voltage Design. ETS 2008: 99-104 - [c73]Biswajit Mishra, Peter R. Wilson, Bashir M. Al-Hashimi:
Advancement in color image processing using Geometric Algebra. EUSIPCO 2008: 1-5 - [c72]Dafeng Zhou, Tom J. Kazmierski, Bashir M. Al-Hashimi:
VHDL-AMS Implementation of a Numerical Ballistic CNT Model. FDL (Selected Papers) 2008: 87-100 - [c71]Dafeng Zhou, Tom J. Kazmierski, Bashir M. Al-Hashimi:
VHDL-AMS Implementation of a Numerical Ballistic CNT Model for Logic Circuit Simulation. FDL 2008: 94-98 - [c70]Geoff V. Merrett, Alex S. Weddell, Adam P. Lewis, Nick R. Harris, Bashir M. Al-Hashimi, Neil M. White:
An Empirical Energy Model for Supercapacitor Powered Wireless Sensor Nodes. ICCCN 2008: 81-86 - [c69]Robert G. Maunder, Alex S. Weddell, Geoff V. Merrett, Bashir M. Al-Hashimi, Lajos Hanzo:
Iterative Decoding for Redistributing Energy Consumption in Wireless Sensor Networks. ICCCN 2008: 93-98 - [c68]Geoff V. Merrett, Alex S. Weddell, Nick R. Harris, Bashir M. Al-Hashimi, Neil M. White:
A Structured Hardware/Software Architecture for Embedded Sensor Nodes. ICCCN 2008: 690-695 - [c67]Ashish Darbari, Bashir M. Al-Hashimi, Peter Harrod, Daryl Bradley:
A New Approach for Transient Fault Injection Using Symbolic Simulation. IOLTS 2008: 93-98 - [c66]Rishad A. Shafik, Paul M. Rosinger, Bashir M. Al-Hashimi:
SystemC-Based Minimum Intrusive Fault Injection Technique with Improved Fault Representation. IOLTS 2008: 99-104 - [c65]Koushik Maharatna, Karim El-Shabrawy, Bashir M. Al-Hashimi:
Reduced Z-datapath Cordic Rotator. ISCAS 2008: 3374-3377 - [c64]Alireza Ejlali, Bashir M. Al-Hashimi:
SEU-Hardened Energy Recovery Pipelined Interconnects for On-Chip Networks. NOCS 2008: 67-76 - [c63]Biswajit Mishra, Bashir M. Al-Hashimi:
Subthreshold FIR Filter Architecture for Ultra Low Power Applications. PATMOS 2008: 1-10 - 2007
- [j25]Zhuo Zhang, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski, Bashir M. Al-Hashimi:
Enhancing delay fault coverage through low-power segmented scan. IET Comput. Digit. Tech. 1(3): 220-229 (2007) - [j24]Yuan Cai, Marcus T. Schmitz, Bashir M. Al-Hashimi, Sudhakar M. Reddy:
Workload-ahead-driven online energy minimization techniques for battery-powered embedded systems with time-constraints. ACM Trans. Design Autom. Electr. Syst. 12(1): 5:1-5:24 (2007) - [j23]Alexandru Andrei, Petru Eles, Zebo Peng, Marcus T. Schmitz, Bashir M. Al-Hashimi:
Energy Optimization of Multiprocessor Systems on Chip by Voltage Selection. IEEE Trans. Very Large Scale Integr. Syst. 15(3): 262-275 (2007) - [c62]M. A. Ochoa-Montiel, Bashir M. Al-Hashimi, Peter Kollig:
Exploiting Power-Area Tradeoffs in Behavioural Synthesis through clock and operations throughput selection. ASP-DAC 2007: 517-522 - [c61]Urban Ingelsson, Paul M. Rosinger, S. Saqib Khursheed, Bashir M. Al-Hashimi, Peter Harrod:
Resistive Bridging Faults DFT with Adaptive Power Management Awareness. ATS 2007: 101-106 - [c60]Alireza Ejlali, Bashir M. Al-Hashimi, Paul M. Rosinger, Seyed Ghassem Miremadi:
Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks. DATE 2007: 1647-1652 - [c59]Luigi Dilillo, Bashir M. Al-Hashimi:
March CRF: an Efficient Test for Complex Read Faults in SRAM Memories. DDECS 2007: 173-178 - [c58]Noohul Basheer Zain Ali, Mark Zwolinski, Bashir M. Al-Hashimi:
Testing of Level Shifters in Multiple Voltage Designs. ICECS 2007: 435-438 - [c57]Haider Ali, Bashir M. Al-Hashimi:
Architecture Level Power-Performance Tradeoffs for Pipelined Designs. ISCAS 2007: 1791-1794 - [c56]Yuan Cai, Sudhakar M. Reddy, Bashir M. Al-Hashimi:
Reducing the Energy Consumption in Fault-Tolerant Distributed Embedded Systems with Time-Constraint. ISQED 2007: 368-373 - [c55]Tom J. Kazmierski, Dafeng Zhou, Bashir M. Al-Hashimi:
A fast, numerical circuit-level model of carbon nanotube transistor. NANOARCH 2007: 33-37 - [c54]Simon Ogg, Enrico Valli, Crescenzo D'Alessandro, Alexandre Yakovlev, Bashir M. Al-Hashimi, Luca Benini:
Reducing Interconnect Cost in NoC through Serialized Asynchronous Links. NOCS 2007: 219 - [i1]Paul M. Rosinger, Bashir M. Al-Hashimi, Krishnendu Chakrabarty:
Rapid Generation of Thermal-Safe Test Schedules. CoRR abs/0710.4797 (2007) - 2006
- [j22]Subhasish Mitra, Ondrej Novák, Hana Kubátová, Bashir M. Al-Hashimi, Erik Jan Marinissen, C. P. Ravikumar:
Conference Reports. IEEE Des. Test Comput. 23(4): 262-265 (2006) - [j21]Bashir M. Al-Hashimi, Dimitris Gizopoulos, Manoj Sachdev, Adit D. Singh:
New JETTA Editors, 2006. J. Electron. Test. 22(1): 9-10 (2006) - [j20]Luigi Dilillo, Paul M. Rosinger, Bashir M. Al-Hashimi, Patrick Girard:
Reducing Power Dissipation in SRAM during Test. J. Low Power Electron. 2(2): 271-280 (2006) - [j19]Paul M. Rosinger, Bashir M. Al-Hashimi, Krishnendu Chakrabarty:
Thermal-Safe Test Scheduling for Core-Based System-on-Chip Integrated Circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(11): 2502-2512 (2006) - [j18]Mauricio Varea, Bashir M. Al-Hashimi, Luis Alejandro Cortés, Petru Eles, Zebo Peng:
Dual Flow Nets: Modeling the control/data-flow relation in embedded systems. ACM Trans. Embed. Comput. Syst. 5(1): 54-81 (2006) - [j17]Alireza Ejlali, Bashir M. Al-Hashimi, Marcus T. Schmitz, Paul M. Rosinger, Seyed Ghassem Miremadi:
Combined time and information redundancy for SEU-tolerance in energy-efficient real-time systems. IEEE Trans. Very Large Scale Integr. Syst. 14(4): 323-335 (2006) - [c53]Dong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz:
Improving routing efficiency for network-on-chip through contention-aware input selection. ASP-DAC 2006: 36-41 - [c52]Yuan Cai, Marcus T. Schmitz, Alireza Ejlali, Bashir M. Al-Hashimi, Sudhakar M. Reddy:
Cache size selection for performance, energy and reliability of time-constrained systems. ASP-DAC 2006: 923-928 - [c51]Luigi Dilillo, Paul M. Rosinger, Bashir M. Al-Hashimi, Patrick Girard:
Minimizing test power in SRAM through reduction of pre-charge activity. DATE 2006: 1159-1164 - [c50]Zhiyuan He, Zebo Peng, Petru Eles, Paul M. Rosinger, Bashir M. Al-Hashimi:
Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving. DFT 2006: 477-485 - [c49]Noohul Basheer Zain Ali, Mark Zwolinski, Bashir M. Al-Hashimi, Peter Harrod:
Dynamic Voltage Scaling Aware Delay Fault Testing. ETS 2006: 15-20 - [c48]Zhuo Zhang, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski, Bashir M. Al-Hashimi:
Enhancing Delay Fault Coverage through Low Power Segmented Scan. ETS 2006: 21-28 - [c47]Matthew Collins, Bashir M. Al-Hashimi:
On-Chip Time Measurement Architecture with Femtosecond Timing Resolution. ETS 2006: 103-110 - [c46]Simon Ogg, Bashir M. Al-Hashimi:
Improved Data Compression for Serial Interconnected Network on Chip through Unused Significant Bit Removal. VLSI Design 2006: 525-529 - 2005
- [j16]Marcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles:
Cosynthesis of energy-efficient multimode embedded systems with consideration of mode-execution probabilities. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(2): 153-169 (2005) - [j15]Mehdi Jafaripanah, Bashir M. Al-Hashimi, Neil M. White:
Application of analog adaptive filters for dynamic sensor compensation. IEEE Trans. Instrum. Meas. 54(1): 245-251 (2005) - [j14]Paul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici:
Synchronization overhead in SOC compressed test. IEEE Trans. Very Large Scale Integr. Syst. 13(1): 140-152 (2005) - [c45]Alexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi:
Quasi-Static Voltage Scaling for Energy Minimization with Time Constraints. DATE 2005: 514-519 - [c44]Paul M. Rosinger, Bashir M. Al-Hashimi, Krishnendu Chakrabarty:
Rapid Generation of Thermal-Safe Test Schedules. DATE 2005: 840-845 - [c43]Enkelejda Tafaj, Paul M. Rosinger, Bashir M. Al-Hashimi, Krishnendu Chakrabarty:
Improving Thermal-Safe Test Scheduling for Core-Based Systems-on-Chip Using Shift Frequency Scaling. DFT 2005: 544-551 - [c42]Dong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz, Petru Eles:
Power-Composition Profile Driven Co-Synthesis with Power Management Selection for Dynamic and Leakage Energy Reduction. DSD 2005: 34-41 - [c41]Matthew Collins, Bashir M. Al-Hashimi, J. Neil Ross:
A programmable time measurement architecture for embedded memory characterization. ETS 2005: 128-133 - [c40]Yuan Cai, Sudhakar M. Reddy, Irith Pomeranz, Bashir M. Al-Hashimi:
Battery-aware dynamic voltage scaling in multiprocessor embedded system. ISCAS (1) 2005: 616-619 - [c39]Peter R. Wilson, Reuben Wilcock, Bashir M. Al-Hashimi:
A novel switched-current phase locked loop. ISCAS (3) 2005: 2815-2818 - [c38]M. A. Ochoa-Montiel, Bashir M. Al-Hashimi, Peter Kollig:
Impact of multicycled scheduling on power-area tradeoffs in behavioural synthesis. ISCAS (4) 2005: 4163-4166 - [c37]Mehdi Jafaripanah, Bashir M. Al-Hashimi, Neil M. White:
Adaptive sensor response correction using analog filter compatible with digital technology [load cell sensor applications]. ISCAS (6) 2005: 5389-5392 - [c36]Alireza Ejlali, Marcus T. Schmitz, Bashir M. Al-Hashimi, Seyed Ghassem Miremadi, Paul M. Rosinger:
Energy efficient SEU-tolerance in DVS-enabled real-time systems through information redundancy. ISLPED 2005: 281-286 - 2004
- [b1]Marcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles:
System-level design techniques for energy-efficient embedded systems. Springer 2004, ISBN 978-1-4020-7750-0, pp. I-XVII, 1-194 - [j13]Nicola Nicolici, Bashir M. Al-Hashimi:
Testability Trade-Offs for BIST Data Paths. J. Electron. Test. 20(2): 169-179 (2004) - [j12]Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici:
Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(7): 1142-1153 (2004) - [j11]Chun-Ming Chang, Bashir M. Al-Hashimi, Yichuang Sun, J. Neil Ross:
New high-order filter structures using only single-ended-input OTAs and grounded capacitors. IEEE Trans. Circuits Syst. II Express Briefs 51-II(9): 458-463 (2004) - [j10]Marcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles:
Iterative schedule optimization for voltage scalable distributed embedded systems. ACM Trans. Embed. Comput. Syst. 3(1): 182-217 (2004) - [c35]Alexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi:
Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems. DATE 2004: 518-525 - [c34]Matheos Lampropoulos, Bashir M. Al-Hashimi, Paul M. Rosinger:
Minimization of Crosstalk Noise, Delay and Power Using a Modified Bus Invert Technique. DATE 2004: 1372-1373 - [c33]Paul Theo Gonciari, Bashir M. Al-Hashimi:
A compression-driven test access mechanism design approach. ETS 2004: 100-105 - [c32]Alexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi:
Simultaneous communication and processor voltage scaling for dynamic and leakage energy reduction in time-constrained systems. ICCAD 2004: 362-369 - [c31]Reuben Wilcock, Bashir M. Al-Hashimi:
Power-conscious design methodology for class-A switched-current wave filters. ISCAS (1) 2004: 225-228 - [c30]Yan Xie, Bashir M. Al-Hashimi:
Analogue adaptive filters using wave synthesis technique. ISCAS (1) 2004: 849-852 - [c29]Geoff V. Merrett, Bashir M. Al-Hashimi:
Leakage Power Analysis and Comparison of Deep Submicron Logic Gates. PATMOS 2004: 198-207 - 2003
- [j9]Nicola Nicolici, Bashir M. Al-Hashimi:
Power-Conscious Test Synthesis and Scheduling. IEEE Des. Test Comput. 20(4): 48-55 (2003) - [j8]Paul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici:
Variable-length input Huffman coding for system-on-a-chip test. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(6): 783-796 (2003) - [j7]Paul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici:
Addressing useless test data in core-based system-on-a-chip test. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(11): 1568-1580 (2003) - [c28]Dong Wu, Bashir M. Al-Hashimi, Petru Eles:
Scheduling and Mapping of Conditional Task Graphs for the Synthesis of Low Power Embedded Systems. DATE 2003: 10090-10095 - [c27]Petros Oikonomakos, Mark Zwolinski, Bashir M. Al-Hashimi:
Versatile High-Level Synthesis of Self-Checking Datapaths Using an On-Line Testability Metric. DATE 2003: 10596-10601 - [c26]Paul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici:
Test Data Compression: The System Integrator's Perspective. DATE 2003: 10726-10731 - [c25]Marcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles:
A Co-Design Methodology for Energy-Efficient Multi-Mode Embedded Systems with Consideration of Mode Execution Probabilities. DATE 2003: 10960-10965 - [c24]Reuben Wilcock, Bashir M. Al-Hashimi:
A CAD methodology for switched current analog IP cores. ETFA (1) 2003: 434-437 - [c23]Chun-Ming Chang, Bashir M. Al-Hashimi:
Analytical synthesis of voltage mode OTA-C all-pass filters for high frequency operation. ISCAS (1) 2003: 461-464 - [c22]Mehdi Jafaripanah, Bashir M. Al-Hashimi, Neil M. White:
Load cell response correction using analog adaptive techniques. ISCAS (4) 2003: 752-755 - 2002
- [j6]Bashir M. Al-Hashimi:
Guest Editor Introduction. Des. Autom. Embed. Syst. 6(4): 331-332 (2002) - [j5]Marcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles:
Synthesizing Energy-Efficient Embedded Systems with LOPOCOS. Des. Autom. Embed. Syst. 6(4): 401-424 (2002) - [j4]Nicola Nicolici, Bashir M. Al-Hashimi:
Multiple Scan Chains for Power Minimization during Test Application in Sequential Circuits. IEEE Trans. Computers 51(6): 721-734 (2002) - [j3]Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici:
Power profile manipulation: a new approach for reducing test application time under power constraints. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(10): 1217-1225 (2002) - [c21]Mauricio Varea, Bashir M. Al-Hashimi, Luis Alejandro Cortés, Petru Eles, Zebo Peng:
Symbolic model checking of Dual Transition Petri Nets. CODES 2002: 43-48 - [c20]Marcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles:
Energy-Efficient Mapping and Scheduling for DVS Enabled Distributed Embedded Systems. DATE 2002: 514-521 - [c19]Paul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici:
Improving Compression Ratio, Area Overhead, and Test Application Time for System-on-a-Chip Test Data Compression/Decompression. DATE 2002: 604-611 - [c18]Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici:
Scan Architecture for Shift and Capture Cycle Power Reduction. DFT 2002: 129-137 - [c17]Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici:
Low Power Mixed-Mode BIST Based on Mask Pattern Generation Using Dual LFSR Re-Seeding. ICCD 2002: 474-479 - [c16]Reuben Wilcock, Bashir M. Al-Hashimi:
Application of group delay equalisation in testing fully-balanced OTA-C filters. ISCAS (4) 2002: 643-646 - [c15]Paul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici:
Integrated Test Data Decompression and Core Wrapper Design for Low-Cost System-on-a-Chip Testing. ITC 2002: 64-73 - [c14]Paul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici:
Useless Memory Allocation in System-on-a-Chip Test: Problems and Solutions. VTS 2002: 423-432 - 2001
- [c13]Mauricio Varea, Bashir M. Al-Hashimi:
Dual transitions petri net based modelling technique for embedded systems specification. DATE 2001: 566-571 - [c12]Nicola Nicolici, Bashir M. Al-Hashimi:
Testability trade-offs for BIST RTL data paths: the case for three dimensional design space. DATE 2001: 802 - [c11]Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici:
Power constrained test scheduling using power profile manipulation. ISCAS (5) 2001: 251-254 - [c10]Marcus T. Schmitz, Bashir M. Al-Hashimi:
Considering power variations of DVS processing elements for energy minimisation in distributed systems. ISSS 2001: 250-255 - [c9]Nicola Nicolici, Bashir M. Al-Hashimi:
Tackling test trade-offs for BIST RTL data paths: BIST area overhead, test application time and power dissipation. ITC 2001: 72-81 - 2000
- [j2]Nicola Nicolici, Bashir M. Al-Hashimi, Andrew D. Brown, Alan Christopher Williams:
BIST hardware synthesis for RTL data paths based on testcompatibility classes. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(11): 1375-1385 (2000) - [c8]Nicola Nicolici, Bashir M. Al-Hashimi:
Scan Latch Partitioning into Multiple Scan Chains for Power Minimization in Full Scan Sequential Circuits. DATE 2000: 715-722 - [c7]Nicola Nicolici, Bashir M. Al-Hashimi:
Power conscious test synthesis and scheduling for BIST RTL data paths. ITC 2000: 662-671
1990 – 1999
- 1999
- [c6]Nicola Nicolici, Bashir M. Al-Hashimi:
Efficient BIST Hardware Insertion with Low Test Application Time for Synthesized Data Paths. DATE 1999: 289- - [c5]Peter Kollig, Bashir M. Al-Hashimi:
Reduction of Latency and Resource Usage in Bit-Level Pipelined Data Paths for FPGAs. FPGA 1999: 227-234 - [c4]F. Dudek, Bashir M. Al-Hashimi, M. Moniri:
Compensation of nonideal effects in video-frequency sinc(x)-equalizers using tunable gm-C structure. ISCAS (2) 1999: 148-151 - [c3]J. Living, Bashir M. Al-Hashimi:
New differential coefficient coding algorithm for recursive FIR filters. ISCAS (3) 1999: 379-382 - [c2]J. Living, Bashir M. Al-Hashimi:
Mixed arithmetic architecture: a solution to the iteration bound for resource efficient FPGA and CPLD recursive digital filters. ISCAS (1) 1999: 478-481 - 1998
- [j1]Nicola Nicolici, Bashir M. Al-Hashimi:
Correction to the Proof of Theorem 2 in "Parallel Signature Analysis Design with Bounds on Aliasing". IEEE Trans. Computers 47(12): 1426 (1998) - [c1]Jonathan Living, Bashir M. Al-Hashimi, M. Moniri:
High performance distributed arithmetic FPGA decimators for video-frequency applications. ICECS 1998: 487-490
Coauthor Index
aka: Syed Saqib Khursheed
aka: Rishad Ahmed Shafik
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-10-07 21:24 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint