{"status":"ok","message-type":"work","message-version":"1.0.0","message":{"indexed":{"date-parts":[[2024,7,5]],"date-time":"2024-07-05T13:58:14Z","timestamp":1720187894051},"reference-count":33,"publisher":"Elsevier BV","license":[{"start":{"date-parts":[[2017,10,1]],"date-time":"2017-10-01T00:00:00Z","timestamp":1506816000000},"content-version":"tdm","delay-in-days":0,"URL":"https:\/\/www.elsevier.com\/tdm\/userlicense\/1.0\/"}],"content-domain":{"domain":["elsevier.com","sciencedirect.com"],"crossmark-restriction":true},"short-container-title":["Microprocessors and Microsystems"],"published-print":{"date-parts":[[2017,10]]},"DOI":"10.1016\/j.micpro.2017.08.005","type":"journal-article","created":{"date-parts":[[2017,8,23]],"date-time":"2017-08-23T07:31:43Z","timestamp":1503473503000},"page":"1-13","update-policy":"http:\/\/dx.doi.org\/10.1016\/elsevier_cm_policy","source":"Crossref","is-referenced-by-count":14,"special_numbering":"C","title":["Dynamic energy management for chip multi-processors under performance constraints"],"prefix":"10.1016","volume":"54","author":[{"given":"Milad Ghorbani","family":"Moghaddam","sequence":"first","affiliation":[]},{"given":"Cristinel","family":"Ababei","sequence":"additional","affiliation":[]}],"member":"78","reference":[{"key":"10.1016\/j.micpro.2017.08.005_sbref0001","series-title":"Natural Resources Defense Council (NRDC) Report","article-title":"Data Center Efficiency Assessment - Scaling Up Energy Efficiency Across the Data Center Industry: Evaluating Key Drivers and Barriers","author":"Whitney","year":"2014"},{"key":"10.1016\/j.micpro.2017.08.005_bib0002","unstructured":"Annual energy outlook u. s. energy information administration (EIA), 2016, [Online]. Available: http:\/\/www.eia.gov\/forecasts\/aeo\/data.cfm#enconsec."},{"key":"10.1016\/j.micpro.2017.08.005_sbref0002","series-title":"Report","article-title":"United States Environmental Protection Agency, Report to Congress on Server and Data Center Energy Efficiency","year":"2007"},{"key":"10.1016\/j.micpro.2017.08.005_bib0004","series-title":"IEEE Int. Symposium on High Performance Computer Architecture (HPCA)","article-title":"System level analysis of fast, per-core DVFS using on-chip switching regulators","author":"Kim","year":"2008"},{"key":"10.1016\/j.micpro.2017.08.005_bib0005","series-title":"ACM\/IEEE Design, Automation and Test in Europe Conference and Exhibition (DATE)","article-title":"Enabling improved power management in multicore processors through clustered DVFS","author":"Kolpe","year":"2011"},{"issue":"4","key":"10.1016\/j.micpro.2017.08.005_bib0006","doi-asserted-by":"crossref","first-page":"670","DOI":"10.1109\/TVLSI.2012.2199142","article-title":"Architecturally homogeneous power-performance heterogeneous multicore systems","volume":"21","author":"Chakraborty","year":"2013","journal-title":"IEEE Trans. Very Large Scale Integr. (VLSI) Syst."},{"issue":"4","key":"10.1016\/j.micpro.2017.08.005_bib0007","doi-asserted-by":"crossref","first-page":"747","DOI":"10.1109\/TVLSI.2013.2257900","article-title":"Low-cost per-core voltage domain support for power-constrained high-performance processors","volume":"22","author":"Sinkar","year":"2014","journal-title":"IEEE Trans. Very Large Scale Integr. (VLSI) Syst."},{"issue":"4","key":"10.1016\/j.micpro.2017.08.005_bib0008","doi-asserted-by":"crossref","first-page":"723","DOI":"10.1109\/TVLSI.2014.2316919","article-title":"Per-core DVFS with switched-capacitor converters for energy efficiency in manycore processors","volume":"23","author":"Jevtic","year":"2015","journal-title":"IEEE Trans. Very Large Scale Integr. (VLSI) Syst."},{"key":"10.1016\/j.micpro.2017.08.005_bib0009","series-title":"IEEE Int. Workshop on Energy Efficient Supercomputing (E2SC)","article-title":"Software controlled clock modulation for energy efficiency optimization on intel processors","author":"Schone","year":"2016"},{"key":"10.1016\/j.micpro.2017.08.005_bib0010","series-title":"ACM\/IEEE Int. Symposium on Low Power Electronics and Design (ISLPED)","article-title":"Dynamic voltage frequency scaling for multi-tasking systems using online learning","author":"Dhiman","year":"2007"},{"key":"10.1016\/j.micpro.2017.08.005_bib0011","series-title":"ACM Int. Conference on Computing Frontiers","article-title":"Applying statistical machine learning to multicore voltage and frequency scaling","author":"Moeng","year":"2010"},{"key":"10.1016\/j.micpro.2017.08.005_bib0012","series-title":"Int. Symposium on Quality Electronic Design (ISQED)","article-title":"Improving the efficiency of power management techniques by using bayesian classification","author":"Jung","year":"2008"},{"key":"10.1016\/j.micpro.2017.08.005_bib0013","series-title":"ACM\/IEEE Design, Automation and Test in Europe Conference and Exhibition (DATE)","article-title":"Dynamic and distributed frequency assignment for energy and latency constrained MP-soc","author":"Puschini","year":"2009"},{"key":"10.1016\/j.micpro.2017.08.005_bib0014","series-title":"ACM\/IEEE Design, Automation and Test in Europe Conference and Exhibition (DATE)","article-title":"Temperature control of high-performance multi-core platforms using convex optimization","author":"Murali","year":"2008"},{"key":"10.1016\/j.micpro.2017.08.005_bib0015","series-title":"ACM\/IEEE Asia and South Pacific Design Automation Conference (ASP-DAC)","article-title":"Hybrid dynamic energy and thermal management in heterogeneous embedded multiprocessor socs","author":"Sharifi","year":"2010"},{"issue":"13","key":"10.1016\/j.micpro.2017.08.005_bib0016","article-title":"STEAM: a smart temperature and energy aware multicore controller","volume":"15","author":"Hanumaiah","year":"2014","journal-title":"ACM Trans. Embedded Comput. Syst. (TECS)"},{"key":"10.1016\/j.micpro.2017.08.005_bib0017","series-title":"ACM\/IEEE Conference on Supercomputing","article-title":"A power-aware run-time system for high-performance computing","author":"Hsu","year":"2005"},{"key":"10.1016\/j.micpro.2017.08.005_bib0018","article-title":"CPU MISER: a performance-directed, run-time system for power-aware clusters","author":"Ge","year":"2007","journal-title":"IEEE Int. Conf. Parallel Process. (ICPP)"},{"key":"10.1016\/j.micpro.2017.08.005_bib0019","series-title":"IEEE\/ACM Int. Symposium on Cluster Computing and the Grid (CCGRID)","article-title":"Energy-efficient cluster computing via accurate workload characterization","author":"Huang","year":"2009"},{"key":"10.1016\/j.micpro.2017.08.005_bib0020","series-title":"ACM\/IEEE Conference on Supercomputing","article-title":"Adagio: making DVS practical for complex HPC applications","author":"Rountree","year":"2009"},{"issue":"4","key":"10.1016\/j.micpro.2017.08.005_bib0021","first-page":"154","article-title":"Joint frequency scaling of processor and DRAM","volume":"72","author":"Sundriyal","year":"1549","journal-title":"J. Supercomput."},{"key":"10.1016\/j.micpro.2017.08.005_bib0022","series-title":"IEEE Int. Parallel and Distributed Processing Symposium (IPDPS)","article-title":"Dynamic frequency scaling and energy saving in quantum chemistry applications","author":"Sundriyal","year":"2011"},{"key":"10.1016\/j.micpro.2017.08.005_bib0023","series-title":"ACM Int. Conference on Computing Frontiers","article-title":"Interval-based models for run-time DVFS orchestration in superscalar processors","author":"Keramidas","year":"2010"},{"key":"10.1016\/j.micpro.2017.08.005_bib0024","series-title":"Int. Green Computing Conference and Workshops","article-title":"Practical performance prediction under dynamic voltage frequency scaling","author":"Rountree","year":"2011"},{"key":"10.1016\/j.micpro.2017.08.005_bib0025","series-title":"Int. Symposium on Microarchitecture (MICRO)","article-title":"Predicting performance impact of DVFS for realistic memory systems","author":"Miftakhutdinov","year":"2012"},{"issue":"11","key":"10.1016\/j.micpro.2017.08.005_bib0026","doi-asserted-by":"crossref","first-page":"1576","DOI":"10.1109\/TC.2010.65","article-title":"A counter architecture for online DVFS profitability estimation","volume":"59","author":"Eyerman","year":"2010","journal-title":"IEEE Trans. Comput."},{"key":"10.1016\/j.micpro.2017.08.005_bib0027","series-title":"An Introduction to the Kalman Filter","author":"Welch","year":"1995"},{"issue":"9","key":"10.1016\/j.micpro.2017.08.005_bib0028","doi-asserted-by":"crossref","first-page":"1334","DOI":"10.1109\/TCAD.2009.2024706","article-title":"Run-time adaptive workload estimation for dynamic voltage scaling","volume":"28","author":"Bang","year":"2009","journal-title":"IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. (TCAD)"},{"key":"10.1016\/j.micpro.2017.08.005_bib0029","series-title":"Int. Conference for High Performance Computing, Networking, Storage and Analysis","article-title":"Sniper: exploring the level of abstraction for ssalable and accurate parallel multi-core simulation","author":"Carlson","year":"2011"},{"key":"10.1016\/j.micpro.2017.08.005_bib0030","series-title":"Int. Symposium on Microarchitecture (MICRO)","article-title":"McPAT: an integrated power, area, timing modeling framework for multicore and manycore architectures","author":"Li","year":"2009"},{"key":"10.1016\/j.micpro.2017.08.005_bib0031","unstructured":"PARSEC and splash2 benchmarks, 2017,. [Online]. Available: http:\/\/parsec.cs.princeton.edu."},{"key":"10.1016\/j.micpro.2017.08.005_bib0032","series-title":"Proceedings of the conference on Design, Automation & Test in Europe (DATE)","article-title":"VRCon: dynamic reconfiguration of voltage regulators in a multicore platform","author":"Lee","year":"2014"},{"key":"10.1016\/j.micpro.2017.08.005_bib0033","series-title":"IEEE Int. Symposium on Quality Electronic Design (ISQED)","article-title":"Learning based DVFS for simultaneous temperature, performance and energy management","author":"Shen","year":"2012"}],"container-title":["Microprocessors and Microsystems"],"original-title":[],"language":"en","link":[{"URL":"https:\/\/api.elsevier.com\/content\/article\/PII:S0141933117301606?httpAccept=text\/xml","content-type":"text\/xml","content-version":"vor","intended-application":"text-mining"},{"URL":"https:\/\/api.elsevier.com\/content\/article\/PII:S0141933117301606?httpAccept=text\/plain","content-type":"text\/plain","content-version":"vor","intended-application":"text-mining"}],"deposited":{"date-parts":[[2018,8,28]],"date-time":"2018-08-28T10:59:09Z","timestamp":1535453949000},"score":1,"resource":{"primary":{"URL":"https:\/\/linkinghub.elsevier.com\/retrieve\/pii\/S0141933117301606"}},"subtitle":[],"short-title":[],"issued":{"date-parts":[[2017,10]]},"references-count":33,"alternative-id":["S0141933117301606"],"URL":"https:\/\/doi.org\/10.1016\/j.micpro.2017.08.005","relation":{},"ISSN":["0141-9331"],"issn-type":[{"value":"0141-9331","type":"print"}],"subject":[],"published":{"date-parts":[[2017,10]]},"assertion":[{"value":"Elsevier","name":"publisher","label":"This article is maintained by"},{"value":"Dynamic energy management for chip multi-processors under performance constraints","name":"articletitle","label":"Article Title"},{"value":"Microprocessors and Microsystems","name":"journaltitle","label":"Journal Title"},{"value":"https:\/\/doi.org\/10.1016\/j.micpro.2017.08.005","name":"articlelink","label":"CrossRef DOI link to publisher maintained version"},{"value":"article","name":"content_type","label":"Content Type"},{"value":"\u00a9 2017 Elsevier B.V. All rights reserved.","name":"copyright","label":"Copyright"}]}}