{"status":"ok","message-type":"work","message-version":"1.0.0","message":{"indexed":{"date-parts":[[2023,9,4]],"date-time":"2023-09-04T21:11:33Z","timestamp":1693861893331},"reference-count":32,"publisher":"Springer Science and Business Media LLC","issue":"10","license":[{"start":{"date-parts":[[2017,3,31]],"date-time":"2017-03-31T00:00:00Z","timestamp":1490918400000},"content-version":"unspecified","delay-in-days":0,"URL":"http:\/\/www.springer.com\/tdm"}],"funder":[{"name":"Fundaci\u00f3n S\u00e9neca (ES) - Agencia de Ciencia y Tecnolog\u00eda de la Regi\u00f3n de Murcia","award":["19295\/PI\/14"]},{"DOI":"10.13039\/501100003329","name":"Ministerio de Econom\u00eda y Competitividad (ES)","doi-asserted-by":"crossref","award":["TIN2015-66972-C5-3-R"],"id":[{"id":"10.13039\/501100003329","id-type":"DOI","asserted-by":"crossref"}]}],"content-domain":{"domain":["link.springer.com"],"crossmark-restriction":false},"short-container-title":["J Supercomput"],"published-print":{"date-parts":[[2017,10]]},"DOI":"10.1007\/s11227-017-2026-6","type":"journal-article","created":{"date-parts":[[2017,3,31]],"date-time":"2017-03-31T04:44:35Z","timestamp":1490935475000},"page":"4428-4443","update-policy":"http:\/\/dx.doi.org\/10.1007\/springer_crossmark_policy","source":"Crossref","is-referenced-by-count":5,"title":["To be silent or not: on the impact of evictions of clean data in cache-coherent multicores"],"prefix":"10.1007","volume":"73","author":[{"given":"Ricardo","family":"Fern\u00e1ndez-Pascual","sequence":"first","affiliation":[]},{"given":"Alberto","family":"Ros","sequence":"additional","affiliation":[]},{"given":"Manuel E.","family":"Acacio","sequence":"additional","affiliation":[]}],"member":"297","published-online":{"date-parts":[[2017,3,31]]},"reference":[{"issue":"7","key":"2026_CR1","doi-asserted-by":"crossref","first-page":"78","DOI":"10.1145\/2209249.2209269","volume":"55","author":"MMK Martin","year":"2012","unstructured":"Martin MMK, Hill MD, Sorin DJ (2012) Why on-chip cache coherence is here to stay. Commun ACM 55(7):78\u201389","journal-title":"Commun ACM"},{"key":"2026_CR2","doi-asserted-by":"crossref","unstructured":"Sorin DJ, Hill MD, Wood DA (2011) A primer on memory consistency and cache coherence. Synthesis lectures on computer architecture, vol 16. Morgan & Claypool Publishers, San Rafael. ISBN 978-1608455645","DOI":"10.2200\/S00346ED1V01Y201104CAC016"},{"issue":"12","key":"2026_CR3","doi-asserted-by":"crossref","first-page":"1112","DOI":"10.1109\/TC.1978.1675013","volume":"27","author":"LM Censier","year":"1978","unstructured":"Censier LM, Feautrier P (1978) A new solution to coherence problems in multicache systems. IEEE Trans Comput 27(12):1112\u20131118","journal-title":"IEEE Trans Comput"},{"key":"2026_CR4","volume-title":"Parallel computer architecture: a hardware\/software approach","author":"DE Culler","year":"1999","unstructured":"Culler DE, Singh JP, Gupta A (1999) Parallel computer architecture: a hardware\/software approach. Morgan Kaufmann Publishers Inc, Burlington"},{"key":"2026_CR5","doi-asserted-by":"crossref","unstructured":"Vantrease D, Lipasti MH, Binkert N (2011) Atomic coherence: leveraging nanophotonics to build race-free cache coherence protocols. In: 17th International Symposium on High-Performance Computer Architecture (HPCA), pp 132\u2013143","DOI":"10.1109\/HPCA.2011.5749723"},{"key":"2026_CR6","doi-asserted-by":"crossref","unstructured":"Cuesta B, Ros A, G\u00f3mez ME, Robles A, Duato J (2011) Increasing the effectiveness of directory caches by deactivating coherence for private memory blocks. In: 38th International Symposium on Computer Architecture (ISCA), pp 93\u2013103","DOI":"10.1145\/2000064.2000076"},{"key":"2026_CR7","doi-asserted-by":"crossref","unstructured":"Elver M, Nagarajan V (2014) TSO-CC: consistency directed cache coherence for tso. In: 20th International Symposium on High-Performance Computer Architecture (HPCA), pp 165\u2013176","DOI":"10.1109\/HPCA.2014.6835927"},{"key":"2026_CR8","doi-asserted-by":"crossref","unstructured":"Zhang M, Bingham JD, Erickson J, Sorin DJ (2014) PVCoherence: designing flat coherence protocols for scalable verification. In: 20th International Symposium on High-Performance Computer Architecture (HPCA), pp 392\u2013403","DOI":"10.1109\/HPCA.2014.6835949"},{"key":"2026_CR9","doi-asserted-by":"crossref","unstructured":"Zebchuk J, Falsafi B, Moshovos A (2013) Multi-grain coherence directories. In: 46th IEEE\/ACM International Symposium on Microarchitecture (MICRO), pp 359\u2013370","DOI":"10.1145\/2540708.2540739"},{"key":"2026_CR10","doi-asserted-by":"crossref","unstructured":"Demetriades S, Cho S (2014) Stash directory: a scalable directory for many-core coherence. In: 20th International Symposium on High-Performance Computer Architecture (HPCA), pp 177\u2013188","DOI":"10.1109\/HPCA.2014.6835928"},{"key":"2026_CR11","doi-asserted-by":"crossref","unstructured":"Menezo LG, Puente V, Gregorio J-\u00c1 (2015) Flask coherence: a morphable hybrid coherence protocol to balance energy, performance and scalability. In: 21th International Symposium on High-Performance Computer Architecture (HPCA), pp 198\u2013209","DOI":"10.1109\/HPCA.2015.7056033"},{"key":"2026_CR12","doi-asserted-by":"crossref","unstructured":"Zhao M, Yeung D (2015) Studying the impact of multicore processor scaling on directory techniques via reuse distance analysis. In: 21th International Symposium on High-Performance Computer Architecture (HPCA), pp 590\u2013602","DOI":"10.1109\/HPCA.2015.7056065"},{"key":"2026_CR13","doi-asserted-by":"crossref","unstructured":"Sanchez D, Kozyrakis C (2012) SCD: a scalable coherence directory with flexible sharer set encoding. In: 18th International Symposium on High-Performance Computer Architecture (HPCA), pp 129\u2013140","DOI":"10.1109\/HPCA.2012.6168950"},{"key":"2026_CR14","doi-asserted-by":"crossref","unstructured":"Zhang G, Horn W, Sanchez D (2015) Exploiting commutativity to reduce the cost of updates to shared data in cache-coherent systems. In: 48th IEEE\/ACM International Symposium on Microarchitecture (MICRO), pp 13\u201325","DOI":"10.1145\/2830772.2830774"},{"key":"2026_CR15","doi-asserted-by":"crossref","unstructured":"Fu Y, Nguyen TM, Wentzlaff D (2015) Coherence domain restriction on large scale systems. In: 48th IEEE\/ACM International Symposium on Microarchitecture (MICRO), pp 686\u2013698","DOI":"10.1145\/2830772.2830832"},{"key":"2026_CR16","doi-asserted-by":"crossref","unstructured":"Moscibroda T, Mutlu O (2009) A case for bufferless routing in on-chip networks. In: 36th International Symposium on Computer Architecture (ISCA), pp 196\u2013207","DOI":"10.1145\/1555754.1555781"},{"key":"2026_CR17","doi-asserted-by":"crossref","unstructured":"Borkar S (2007) Thousand core chips: a technology perspective. In: 44th Design Automation Conference (DAC), pp 746\u2013749","DOI":"10.1145\/1278480.1278667"},{"issue":"6","key":"2026_CR18","doi-asserted-by":"crossref","first-page":"74","DOI":"10.1109\/2.55503","volume":"23","author":"DV James","year":"1990","unstructured":"James DV, Laundrie AT, Gjessing S, Sohi GS (1990) Scalable coherent interface. IEEE Comput 23(6):74\u201377","journal-title":"IEEE Comput"},{"key":"2026_CR19","doi-asserted-by":"crossref","unstructured":"Lovett T, Clapp R (1996) STiNG: a cc-NUMA computer system for the commercial marketplace. In: 23rd International Symposium on Computer Architecture (ISCA), pp 308\u2013317","DOI":"10.1145\/232973.233006"},{"key":"2026_CR20","doi-asserted-by":"crossref","unstructured":"Thekkath R, Singh AP, Singh JP, John S, Hennessy JL (1997) An evaluation of a commercial cc-NUMA architecture: the CONVEX Exemplar SPP1200. In: 11th International Symposium on Parallel Processing (IPPS), pp 8\u201317","DOI":"10.1109\/IPPS.1997.580831"},{"key":"2026_CR21","doi-asserted-by":"crossref","unstructured":"Fern\u00e1ndez-Pascual R, Ros A, Acacio ME (2016) Optimization of a linked cache coherence protocol for scalable manycore coherence. In: 29th International Conference on Architecture of Computing Systems (ARCS), pp 100\u2013112","DOI":"10.1007\/978-3-319-30695-7_8"},{"key":"2026_CR22","doi-asserted-by":"crossref","unstructured":"Martin MM, Hill MD, Wood DA (2003) Token coherence: decoupling performance and correctness. In: 30th International Symposium on Computer Architecture (ISCA), pp 182\u2013193","DOI":"10.1145\/859618.859640"},{"key":"2026_CR23","doi-asserted-by":"crossref","unstructured":"Marty MR, Bingham JD, Hill MD, Hu AJ, Martin MM, Wood DA (2005) Improving multiple-CMP systems using token coherence. In: 11th International Symposium on High-Performance Computer Architecture (HPCA), pp 328\u2013339","DOI":"10.1109\/HPCA.2005.17"},{"key":"2026_CR24","unstructured":"Simoni R, Horowitz MA (1991) Dynamic pointer allocation for scalable cache coherence directories. In: International Symposium on Shared Memory Multiprocessing, pp 72\u201381"},{"key":"2026_CR25","doi-asserted-by":"crossref","unstructured":"Luk C-K, Cohn R, Muth R, Patil H, Klauser A, Lowney G, Wallace S, Reddi VJ, Hazelwood K (2005) Pin: Building customized program analysis tools with dynamic instrumentation. In: 2005 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), pp 190\u2013200","DOI":"10.1145\/1065010.1065034"},{"issue":"4","key":"2026_CR26","doi-asserted-by":"crossref","first-page":"92","DOI":"10.1145\/1105734.1105747","volume":"33","author":"MM Martin","year":"2005","unstructured":"Martin MM, Sorin DJ, Beckmann BM, Marty MR, Xu M, Alameldeen AR, Moore KE, Hill MD, Wood DA (2005) Multifacet\u2019s general execution-driven multiprocessor simulator (GEMS) toolset. Comput Archit News 33(4):92\u201399","journal-title":"Comput Archit News"},{"issue":"2","key":"2026_CR27","doi-asserted-by":"crossref","first-page":"10","DOI":"10.1145\/1577129.1577133","volume":"37","author":"M Monchiero","year":"2009","unstructured":"Monchiero M, Ahn JH, Falc\u00f3n A, Ortega D, Faraboschi P (2009) How to simulate 1000 cores. Comput Archit News 37(2):10\u201319","journal-title":"Comput Archit News"},{"key":"2026_CR28","doi-asserted-by":"crossref","unstructured":"Puente V, Gregorio JA, Beivide R (2002) SICOSYS: An integrated framework for studying interconnection network in multiprocessor systems. In: 10th Euromicro Workshop on Parallel, Distributed and Network-based Processing, pp 15\u201322","DOI":"10.1109\/EMPDP.2002.994207"},{"key":"2026_CR29","doi-asserted-by":"crossref","unstructured":"Balkind J, McKeown M, Fu Y, Nguyen T, Zhou Y, Lavrov A, Shahrad M, Fuchs A, Payne S, Liang X, Matl M, Wentzlaff D (2016) Openpiton: an open source manycore research framework. In: 21st International Conference on Architectural Support for Programming Language and Operating Systems (ASPLOS), pp 217\u2013232","DOI":"10.1145\/2872362.2872414"},{"key":"2026_CR30","doi-asserted-by":"crossref","unstructured":"Woo SC, Ohara M, Torrie E, Singh JP, Gupta A (1995) The SPLASH-2 programs: characterization and methodological considerations. In: 22nd International Symposium on Computer Architecture (ISCA), pp 24\u201336","DOI":"10.1145\/223982.223990"},{"key":"2026_CR31","doi-asserted-by":"crossref","unstructured":"Bienia C, Kumar S, Singh JP, Li K (2008) The PARSEC benchmark suite: characterization and architectural implications. In: 17th International Conference on Parallel Architectures and Compilation Techniques (PACT), pp 72\u201381","DOI":"10.1145\/1454115.1454128"},{"key":"2026_CR32","unstructured":"Alameldeen AR, Wood DA (2003) Variability in architectural simulations of multi-threaded workloads. In: 9th International Symposium on High-Performance Computer Architecture (HPCA), pp 7\u201318"}],"container-title":["The Journal of Supercomputing"],"original-title":[],"language":"en","link":[{"URL":"http:\/\/link.springer.com\/article\/10.1007\/s11227-017-2026-6\/fulltext.html","content-type":"text\/html","content-version":"vor","intended-application":"text-mining"},{"URL":"http:\/\/link.springer.com\/content\/pdf\/10.1007\/s11227-017-2026-6.pdf","content-type":"application\/pdf","content-version":"vor","intended-application":"text-mining"},{"URL":"http:\/\/link.springer.com\/content\/pdf\/10.1007\/s11227-017-2026-6.pdf","content-type":"application\/pdf","content-version":"vor","intended-application":"similarity-checking"}],"deposited":{"date-parts":[[2019,9,20]],"date-time":"2019-09-20T08:37:52Z","timestamp":1568968672000},"score":1,"resource":{"primary":{"URL":"http:\/\/link.springer.com\/10.1007\/s11227-017-2026-6"}},"subtitle":[],"short-title":[],"issued":{"date-parts":[[2017,3,31]]},"references-count":32,"journal-issue":{"issue":"10","published-print":{"date-parts":[[2017,10]]}},"alternative-id":["2026"],"URL":"http:\/\/dx.doi.org\/10.1007\/s11227-017-2026-6","relation":{},"ISSN":["0920-8542","1573-0484"],"issn-type":[{"value":"0920-8542","type":"print"},{"value":"1573-0484","type":"electronic"}],"subject":[],"published":{"date-parts":[[2017,3,31]]}}}